搜索资源列表

  1. NcVerilog_tutorial

    0下载:
  2. nc verilog 的使用说明和实例,对于实用nc来进行仿真进行了详细说明。-nc verilog instructions and examples for the utility to carry out simulation nc described in detail.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-02
    • 文件大小:591872
    • 提供者:李林

源码中国 www.ymcn.org