搜索资源列表

  1. qep

    0下载:
  2. 一个QEP电路的verilog代码。输入信号是光电编码器的A相和B相信号和一个处理时钟,输出的是计数信号和方向信号。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1474
    • 提供者:张洁
  1. 6.25-DSP-Pwm-QEP-LED

    2下载:
  2. DSP2812-PWM-QEP-LED本程序是通过L298P驱动电机,发生两路PWM,通过GPIO口逻辑控制电机转动方向,QEP电路读取电机转速和方向,定时器中断控制LED,非常适合初学者,每一步都写的非常简单,对照着书很容易就看得懂!
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:172007
    • 提供者:chenwei_0218@
  1. QEP

    0下载:
  2. 采用dsp汇编编写正交编码程序,可以应用于位移与速度测量中-compilation prepared using dsp orthogonal coding procedures can be applied to displacement and velocity measurement
  3. 所属分类:通讯编程

    • 发布日期:2024-05-16
    • 文件大小:4096
    • 提供者:李庆山
  1. 2407c(QEP)

    1下载:
  2. TMS320LF2407 事件管理器模块_编码程序,c语言-TMS320LF2407 event management module _ coding procedures, c language
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-16
    • 文件大小:3072
    • 提供者:yangsheng
  1. qep

    0下载:
  2. DSP编码器例程,使开发者尽快熟悉DSP编码器的使用-DSP encoder routines, so that developers familiar with the DSP as soon as possible the use of encoder
  3. 所属分类:DSP编程

    • 发布日期:2024-05-16
    • 文件大小:147456
    • 提供者:dailei
  1. 2407C

    0下载:
  2. 2407C文件夹包括第8~16章的C语言代码及使用说明。有如下子文件夹:  第8章数字输入输出8路开关量输入输出(8IOIN+8IOOUT);  第8章数字输入输出模块(led);  第8章数字输入输出模块(LED+KEY);  第9章事件管理器模块(PWM);  第9章事件管理器模块_编码(QEP);  第9章事件管
  3. 所属分类:DSP编程

    • 发布日期:2024-05-16
    • 文件大小:436224
    • 提供者:frank
  1. QEP_DAC_board

    0下载:
  2. TMS320F2812DSP与DAC7731的接口程序,DSP的QEP单元接收正交编码信号的程序-TMS320F2812DSP interface with the DAC7731 procedures, DSP unit to receive the QEP process quadrature encoder signals
  3. 所属分类:DSP编程

    • 发布日期:2024-05-16
    • 文件大小:160768
    • 提供者:陈现敏
  1. qep

    0下载:
  2. 一个QEP电路的verilog代码。输入信号是光电编码器的A相和B相信号和一个处理时钟,输出的是计数信号和方向信号。-A QEP circuit Verilog code. Input signal is the optical encoder of the A phase and B and believe that a deal with the clock, the output is the count signal and d
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-16
    • 文件大小:1024
    • 提供者:张洁
  1. 6.25-DSP-Pwm-QEP-LED

    0下载:
  2. DSP2812-PWM-QEP-LED本程序是通过L298P驱动电机,发生两路PWM,通过GPIO口逻辑控制电机转动方向,QEP电路读取电机转速和方向,定时器中断控制LED,非常适合初学者,每一步都写的非常简单,对照着书很容易就看得懂!-DSP2812-PWM-QEP-LED This procedure is adopted L298P drive motor, the occurrence of two PWM, through t
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-16
    • 文件大小:266240
    • 提供者:chenwei_0218@
  1. dianjizhuangbihuan

    0下载:
  2. DSP2812用于电机双闭环控制的程序,分成九块,包括PWM,QEP,ADC-DSP2812 for motor dual closed-loop control procedure is divided into nine, including PWM, QEP, ADC
  3. 所属分类:DSP编程

    • 发布日期:2024-05-16
    • 文件大小:1024
    • 提供者:甘家飞
  1. QEP

    0下载:
  2. this an code source og the cap of the DSP of texa intrument the TMS320F2812-this is an code source og the cap of the DSP of texa intrument the TMS320F2812
  3. 所属分类:书籍源码

    • 发布日期:2024-05-16
    • 文件大小:1024
    • 提供者:karim
  1. F280xPMSM343phasewithsensorsPMSMpositioncontrolthe

    0下载:
  2. F280x PMSM3_4:3 相、含传感器、PMSM 的定位控制(使用 QEP)-F280x PMSM3_4: 3-phase, with sensors, PMSM position control (the use of QEP)
  3. 所属分类:DSP编程

    • 发布日期:2024-05-16
    • 文件大小:3832832
    • 提供者:石头
  1. qwm_qep_sci_chosen_angle

    1下载:
  2. TMS320LF2812的控制电机的程序,包括SCI,QEP,DA-TMS320LF2812 control of motor procedures, including the SCI, QEP, DA
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-16
    • 文件大小:823296
    • 提供者:qian
  1. qep

    0下载:
  2. QP下的事件处理源码~基于状态机的实现~ -QP event handling source code under the state machine based on the realization of ~ ~ QP under the event handling source ~ based on state machine implementation ~
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-16
    • 文件大小:11264
    • 提供者:Moses
  1. QEP

    0下载:
  2. 关于学习dsp的很好很实用的简单实验,不错的教程和汇编语言,c语言-Dsp very good on learning a simple and practical experiments, good tutorials and assembly language, c language
  3. 所属分类:DSP编程

    • 发布日期:2024-05-16
    • 文件大小:27648
    • 提供者:郭喜彬
  1. QERcesu

    0下载:
  2. 基于dsp的三相异步电机的速度检测算法的程序,QEP测速部分。我不会谁能帮帮我附件里面是提示,谁会得,发邮箱377279379@qq.com。我QQ377279379-dsp,about Three-phase asynchronous motor,Speed detection algorithm procedure,Speed part of the QEP.
  3. 所属分类:DSP编程

    • 发布日期:2024-05-16
    • 文件大小:5120
    • 提供者:dxl
  1. F281xPMSM3_4(FOC)

    0下载:
  2. F281x PMSM3_4:含传感器、PMSM的定位控制使用 QEP).-F281x PMSM3_4: with sensor, PMSM position control using the QEP).
  3. 所属分类:matlab例程

    • 发布日期:2024-05-16
    • 文件大小:16384
    • 提供者:夏飞
  1. Main

    0下载:
  2. 使能事件管理器,并采用中断方式确定发送的脉冲数,QEP电路使能,计数-Enables incident management, and the interruption of the pulse, sending QEP circuit can make, counting
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-16
    • 文件大小:2048
    • 提供者:东海
  1. 2812-qep-zhengjiao

    1下载:
  2. 2812片上qep使用例程,使用的是qep1、qep2来完成正交编码检测的。运行后查看speed即为电机转动1s产生的脉冲数。脉冲信号需要接到qep1、qep2引脚。-2812 on qep use routines, using qep1, qep2 to complete the orthogonal encoding detection. After viewing the motor running speed is the n
  3. 所属分类:DSP编程

    • 发布日期:2024-05-16
    • 文件大小:482304
    • 提供者:雷宾
  1. QEP

    1下载:
  2. 基于DSP2812平台,CCS3.3,采用事件管理器EVA的T2计数器测定QEP电路脉冲个数,编码器AB相输入到EVA的指定引脚。正转则T2增计数,反转则T2减计数。亲测可用。注意一圈脉冲数为编码器线程的4倍。(DSP2812 based platform, CCS3.3, the event manager using EVA T2 of the counter circuit pulse number measuring QEP,
  3. 所属分类:DSP编程

    • 发布日期:2024-05-16
    • 文件大小:742400
    • 提供者:avery423
« 12 3 4 »

源码中国 www.ymcn.org