搜索资源列表

  1. DFF1

    0下载:
  2. 由VHDL 语言实现的D触发器利用的是QUARTUES环境已经得到验证
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:127753
    • 提供者:df
  1. PULSE

    0下载:
  2. 由VHDL 语言实现的数控分频 利用的是QUARTUES环境已经得到验证
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:172372
    • 提供者:df
  1. DAC0832

    0下载:
  2. 由VHDL 语言实现的DA0832器利用的是QUARTUES环境已经得到验证
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:173314
    • 提供者:df
  1. EDA12

    0下载:
  2. EDA技术应用.用QUARTUES II 实现EDA技术实验操作,类似于精典的MAX+PLUS
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:3999277
    • 提供者:曾伟
  1. DFF1

    0下载:
  2. 由VHDL 语言实现的D触发器利用的是QUARTUES环境已经得到验证-By the VHDL language using the D flip-flop is QUARTUES environment has been tested
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-30
    • 文件大小:126976
    • 提供者:df
  1. PULSE

    0下载:
  2. 由VHDL 语言实现的数控分频 利用的是QUARTUES环境已经得到验证-By the NC VHDL language is the use of sub-frequency QUARTUES environment has been tested
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-30
    • 文件大小:172032
    • 提供者:df
  1. DAC0832

    0下载:
  2. 由VHDL 语言实现的DA0832器利用的是QUARTUES环境已经得到验证-By the VHDL language uses the DA0832 is QUARTUES environment has been tested
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-30
    • 文件大小:173056
    • 提供者:df
  1. EDA12

    0下载:
  2. EDA技术应用.用QUARTUES II 实现EDA技术实验操作,类似于精典的MAX+PLUS-EDA applications. QUARTUES II with EDA technology to achieve the experimental operation, similar to the classical MAX+ PLUS
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-30
    • 文件大小:3998720
    • 提供者:曾伟
  1. aaa

    0下载:
  2. quartues lincense what you are wanted
  3. 所属分类:书籍源码

    • 发布日期:2024-05-30
    • 文件大小:319488
    • 提供者:xiaoping
  1. quartusII8.0_crack

    0下载:
  2. quartusii8.0正式版破解器,正式版可到官网去下载。http://www.altera.com.cn/-quartusii8.0_crack
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-30
    • 文件大小:15360
    • 提供者:hanhaili
  1. NiosII-LED-Demo

    0下载:
  2. 这是 Quartues II 的 FPGA SOPC NIOS_II IDE的设历程,对于初学者肯定有很大的帮助-This is a Quartues II of the FPGA SOPC NIOS_II IDE to set the course for beginners will definitely be very helpful
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-05-30
    • 文件大小:317440
    • 提供者:hanbin
  1. OVGA09.6.29

    0下载:
  2. Quartues II下VGA显示工程,有很高的参考价值-Quartues II works under the VGA display, a high reference value
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-30
    • 文件大小:79872
    • 提供者:gaoyukun
  1. JTD

    0下载:
  2. 交通灯eda课程设计(quartues 11)-Traffic lights eda curriculum design (quartues 11)
  3. 所属分类:软件工程

    • 发布日期:2024-05-30
    • 文件大小:329728
    • 提供者:liubin
  1. verilog-hdl

    0下载:
  2. verilog hdl quartues-硬件描述语言, 数字系统设计,设计数字系统,灵活方便,更改方便,设计流程时间段
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-30
    • 文件大小:5120
    • 提供者:陈晨
  1. cpu-and-ram

    0下载:
  2. 这是一个用VHDL语言写的简单带存储器的CPU设计,不涉及流水线设计,只是简单的利用QUARTUES II里的ram-This is a simple memory write VHDL CPU design, does not involve the assembly line design, simply use the ram in QUARTUES II
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-30
    • 文件大小:1262592
    • 提供者:郭雅娟
  1. fpga_uart

    0下载:
  2. verilog编写的简单串口收发代码,quartues II 下cyclone II 测试通过-prepared by the simple serial transceiver verilog code, quartues II test under the cyclone II
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-30
    • 文件大小:3072
    • 提供者:polun
  1. BPSK

    0下载:
  2. 在quartues ii环境下编译的M序列码的BPSK调制-BPSK modulation compiler environment under quartues ii M-sequence code
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-30
    • 文件大小:5946368
    • 提供者:lhd
  1. cnt24

    0下载:
  2. VHDL24秒篮球倒计时,VHDL编写,实现23到0计数。quartues ii 9.1编写的。-VHDL24 sec basketball countdown, written in VHDL, to achieve 23 to 0 count. Quartues written in II 9.1.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-30
    • 文件大小:145408
    • 提供者:Ronge
  1. WebDES

    0下载:
  2. 完整的并且可以成功在quartues环境下编译的DES加密解密算法实现的代码,里面有较为详细的中英文说明。-And successfully complete the code DES encryption and decryption algorithms in quartues environment compiler implementation, there are more detailed instructions in E
  3. 所属分类:其他小程序

    • 发布日期:2024-05-30
    • 文件大小:9216
    • 提供者:ttz
  1. quartues_pcie_dma

    0下载:
  2. 其主要目的是对quartues PCIe Gen3硬块提供了一个简单的DMA接口。-Its main purpose is to provide a simple DMA interface to the quartues PCIe Gen3 hard block.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-30
    • 文件大小:1221632
    • 提供者:jiangqun
« 12 »

源码中国 www.ymcn.org