搜索资源列表

  1. Quartus_II_7.0_decoder

    0下载:
  2. Altera公司的Quartus7.0的lisence 破解程序-Altera's Quartus7.0 the lisence crack procedures
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:5886
    • 提供者:sylivian
  1. osc

    0下载:
  2. 数字示波器的FPGA实现 VHDL编写 Quartus7.1测试通过
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:2243597
    • 提供者:李星
  1. fftinterface

    0下载:
  2. 电赛一等奖作品:音频信号分析仪的FPGA源码,VHDL编写,Quartus7.1综合,ModelSim6.2g se仿真,应用了opencores.org上的开源FFT IP核,加入了8051总线接口和ram
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:4933712
    • 提供者:李星
  1. coverlater

    1下载:
  2. 本程序是在Quartus7.2环境下编译的一个简单的(2,1,3)卷积码,能够成功地编译和仿真。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:989
    • 提供者:柯陡
  1. Quartus7.2_crack

    0下载:
  2. qutartusII7.2的破解工具。之不过是在6.0的基础上,但是可以用。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:543480
    • 提供者:guobo
  1. Altera_Avalon

    0下载:
  2. quartus7.1的avalon总线的测试。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:12038
    • 提供者:
  1. svpwm_full_nios

    0下载:
  2. 这是我毕业设计做的一个SVPWM同步永磁交流电机的控制系统,里面除了一个SVPWM的驱动算法之外,还有一个步进电机的控制器,以及基于QUARTUS7.2的NIOS II控制核心,通过PC的串口可以控制同步永磁交流电机和步进电机进行精确的定位。该系统较复杂,运用的知识也比较多,在SVPWM算法,PID算法,步进电机控制方面,NIOS II的串口编程等都有值得参考的地方。最好使用QUARTUS7.2编译,目标芯片是选用EP1C6Q240
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:12646564
    • 提供者:汉武帝
  1. Quartus_II_7.0_decoder

    0下载:
  2. Altera公司的Quartus7.0的lisence 破解程序-Altera's Quartus7.0 the lisence crack procedures
  3. 所属分类:其他小程序

    • 发布日期:2024-05-18
    • 文件大小:5120
    • 提供者:sylivian
  1. q2_7_license

    0下载:
  2. altera quartus 2 7.0 许可文件-altera quartus 2 7.0 permit documents
  3. 所属分类:其他小程序

    • 发布日期:2024-05-18
    • 文件大小:6144
    • 提供者:
  1. Key7.1SP1(b178)

    0下载:
  2. Qutuas II v7.1的key_gen 对sp1无效 这就是个v7.1 sp1的key_gen -Key_gen the Qutuas II v7.1 for sp1 invalid This is the v7.1 sp1 months key_gen
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:41984
    • 提供者:陈勇
  1. osc

    0下载:
  2. 数字示波器的FPGA实现 VHDL编写 Quartus7.1测试通过-Digital Oscilloscope The FPGA realization of VHDL test preparation Quartus7.1
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:2243584
    • 提供者:李星
  1. fftinterface

    0下载:
  2. 电赛一等奖作品:音频信号分析仪的FPGA源码,VHDL编写,Quartus7.1综合,ModelSim6.2g se仿真,应用了opencores.org上的开源FFT IP核,加入了8051总线接口和ram-Xinhua Cup first prize works: audio signal analyzer FPGA source, VHDL prepared, Quartus7.1 integrated, ModelSim6.2g
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:4933632
    • 提供者:李星
  1. coverlater

    0下载:
  2. 本程序是在Quartus7.2环境下编译的一个简单的(2,1,3)卷积码,能够成功地编译和仿真。-This procedure is in circumstances Quartus7.2 compile a simple (2,1,3) convolutional code, can successfully compile and simulation.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:1024
    • 提供者:柯陡
  1. Quartus7.2_crack

    0下载:
  2. qutartusII7.2的破解工具。之不过是在6.0的基础上,但是可以用。-qutartusII7.2 the crack tool. It is based on the 6.0, but can be used.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:542720
    • 提供者:guobo
  1. Altera_Avalon

    0下载:
  2. quartus7.1的avalon总线的测试。-the avalon bus quartus7.1 testing.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:11264
    • 提供者:
  1. forpof

    0下载:
  2. quartus2 7.1 版本的license,FPGA开发工具的授权文件-quartus2 7.1 version of the license, FPGA development tools authorization documents
  3. 所属分类:其他小程序

    • 发布日期:2024-05-18
    • 文件大小:347136
    • 提供者:shushu
  1. svpwm_full_nios

    0下载:
  2. 这是我毕业设计做的一个SVPWM同步永磁交流电机的控制系统,里面除了一个SVPWM的驱动算法之外,还有一个步进电机的控制器,以及基于QUARTUS7.2的NIOS II控制核心,通过PC的串口可以控制同步永磁交流电机和步进电机进行精确的定位。该系统较复杂,运用的知识也比较多,在SVPWM算法,PID算法,步进电机控制方面,NIOS II的串口编程等都有值得参考的地方。最好使用QUARTUS7.2编译,目标芯片是选用EP1C6Q240-T
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:13167616
    • 提供者:汉武帝
  1. Quartus7.2

    0下载:
  2. 通过VHDL实现4位全加器,8位全加器,和8位通用寄存器的设计-4-bit full adder 8-bit full adder 8-bit register using vhdl
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:924672
    • 提供者:yepp_u2
  1. quartusII7.2license(2)

    0下载:
  2. quartus7.2的license破解,里面有详细说明,简单实用-quartus7.2 to break the license, which has detailed descr iption of simple and practical
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:6144
    • 提供者:张建
  1. Quartus7.2andModelSim

    0下载:
  2. 结合截图,quartus2与ModelSim的联调的详细操作步凑,使初学者迅速上手-Combination of shots, quartus2 with the ModelSim FBI put together a detailed step-by-step operation, so that beginners get started quickly
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:206848
    • 提供者:余彦培
« 12 3 »

源码中国 www.ymcn.org