搜索资源列表

  1. DDR_SDRAM_Controller

    0下载:
  2. DDR RAM控制器的VHDL源码,实现平台是Lattice FPGA,功能验证通过-DDR RAM controller VHDL source code, achieving the platform of Lattice FPGA, functional verification through
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:677888
    • 提供者:钟方
  1. IDT7132

    0下载:
  2. AT89C52扩展外部双口RAM(IDT7132),在Keil C51环境下测试,和一般的RAM使用方法相当!用串口调试助手观看测试结果-AT89C52 expand external dual-port RAM (IDT7132) Keil C51 in the test environment, and the general use of RAM is! Help with serial debugging watched tes
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-18
    • 文件大小:1024
    • 提供者:陈桂辉
  1. dualportRAM

    0下载:
  2. 双端口RAM的VHDL语言实现。完全在CPLD芯片上测试通过。可以实现对存储器读操作的同时对另外一个空间写操作-dual-port RAM VHDL. Totally CPLD chip test. Memory can be achieved right time to operate while the other was a space operation
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-18
    • 文件大小:90112
    • 提供者:王雪松
  1. DDS_sin

    0下载:
  2. 用VHDL语言实现DDS直接数字频率合成器的设计,采用正弦RAM表,可实现频率可控的正弦数字信号,编译、仿真通过。-VHDL DDS Direct Digital Frequency Synthesizer Design using sinusoidal RAM table achieve controllable frequency sinusoidal digital signal, compile, through simulat
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:8192
    • 提供者:sarahyu
  1. RAM_VHDL_34

    0下载:
  2. RAM之VHDL描述 RAM之VHDL描述-RAM's VHDL descr iption RAM's VHDL descr iption RAM's VH DL described in VHDL's RAM
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:5120
    • 提供者:
  1. my_fifo_vhdl

    0下载:
  2. XILINX的FPGA实现的双口ram源码,可作为dsp\SDRAM和pci桥接作用,可直接使用,实际工程通过。-XILINX FPGA Implementation of the dual-port ram source, as dsp \ SDRAM and pci bridge, and can be used directly, through practical projects.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:19456
    • 提供者:朱效志
  1. Sobel--Image_Filter_An_Image_filtering_VHDL

    0下载:
  2. Sobel--Image Filter (I). An Image filtering is made over data loaded into the on board RAM and presented on a VGA monitor.zip-Sobel-- Image Filter (I). An Image filteri Vi is made over the data loaded into RAM on board a
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:316416
    • 提供者:严刚
  1. DDK_BCHKD_Custom_Events

    0下载:
  2. RAM Disk Driver with custom BoundsChecker events This sample illustrates how to add custom BoundsChecker events to a DDK driver. It links to the kchecker library and has several BOUNDSCHECKER() calls in it.
  3. 所属分类:驱动编程

    • 发布日期:2024-05-18
    • 文件大小:23552
    • 提供者:wiyn
  1. vhdl_sw_lr

    0下载:
  2. 我自己写的vhdl程序,内有画图器,ram 和控制ram。还有test bentch。-I wrote it myself vhdl procedures, which are drawing device, and control of ram ram. There bentch test.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:16384
    • 提供者:王大宝
  1. memtest

    0下载:
  2. RAM的硬件测试程序,经本人测试已经没有问题-RAM hardware test procedures, as I have no problem testing
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-18
    • 文件大小:2048
    • 提供者:李华林
  1. Flashram

    0下载:
  2. FLASH RAM test program on EVC environment
  3. 所属分类:FlashMX源码

    • 发布日期:2024-05-18
    • 文件大小:2727936
    • 提供者:許勝
  1. danpianjiC51

    0下载:
  2. 下载说明: 本光盘的所有代码均在Keil C51 7.0以上版本编译通过。读者的电脑只需要 能够运行Windows 98 以上版本的操作系统、并能够安装Keil C51 7.0以上版本 的软件即可。 读者可以到Keil公司的主页(http://www.keil.com/demo/)免费下载 Keil C51试用版软件。但试用版的Keil C51 软件有2KB RAM的限制,部分程序
  3. 所属分类:书籍源码

    • 发布日期:2024-05-18
    • 文件大小:1878016
    • 提供者:肖金星
  1. C51lcd

    0下载:
  2. SED1335驱动320x240图形液晶驱动演示程序 320x240液晶模块配用sed1335驱动接口板,sed1335驱动接口板上配用32K ram -SED1335 driver 320x240 graphics LCD driver demo program with 320x240 LCD Module with SED1335 driver interface board, sed1335-driven interfa
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-18
    • 文件大小:107520
    • 提供者:江帆
  1. double_RAM

    0下载:
  2. 在modolsim平台下仿真完成了一个双端口RAM的实现,希望有用。-Simulation platform in modolsim completed a dual-port RAM realize the hope that useful.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:89088
    • 提供者:陈曦
  1. AVR

    0下载:
  2. AVR的RAM扩展方法,在设计ARM时很有帮助哦-AVR
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-18
    • 文件大小:11264
    • 提供者:longagef
  1. altera_ram

    0下载:
  2. 本程序对如何使用altera系列芯片片上ram进行实例演示,采用Verilog HDL语言编写,并使用modelsim与quartus联合进行功能仿真。本原码是红色逻辑开发板的试验程序,值得一看。-This procedure of how to use the altera series chip-chip ram for example demonstration, using Verilog HDL language, and u
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:180224
    • 提供者:panyouyu
  1. RAMtest

    0下载:
  2. LPC2292 RAM TEST SAMPLE
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-18
    • 文件大小:98304
    • 提供者:来生缘
  1. 317s64

    0下载:
  2. S64是atmel公司的一块ARM7处理器,价格低廉,16k RAM 64K flash,带USB接口,是ARM入门的好选择,这个是它的电路图,protel的-S64 is a piece of Atmel
  3. 所属分类:Linux/Unix编程

    • 发布日期:2024-05-18
    • 文件大小:300032
    • 提供者:wang
  1. ICL7135

    0下载:
  2. 双口RAM程序实例-Dual-port RAM instance
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-18
    • 文件大小:15360
    • 提供者:赖永仲
  1. 128×16ram

    0下载:
  2. VHDL程序设计的RAM存储器,双端口,128×16比特-VHDL programming RAM memory, dual-port, 128 × 16 bits
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:1024
    • 提供者:petri
« 1 2 3 4 5 6 78 9 10 11 12 ... 50 »

源码中国 www.ymcn.org