搜索资源列表

  1. sin

    0下载:
  2. QUARTUSS||环境下的简易正弦信号发生器的设计,VERILOG 代码,用到了嵌入式逻辑分析仪-QUARTUSS | | environment simple sinusoidal signal generator, VERILOG code, use the embedded logic analyzer
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-02
    • 文件大小:2955264
    • 提供者:sujiebin
  1. 2014-4-23

    0下载:
  2. 正弦信号发生器,rom78需要自己设置。请参考其他资料-Sinusoidal signal generator
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-02
    • 文件大小:5120
    • 提供者:王柳

源码中国 www.ymcn.org