搜索资源列表

  1. scan_led

    0下载:
  2. 一个8位数码管扫描程序,经过编译和仿真.-An 8-bit digital tube scanner, after the compiler and simulation.
  3. 所属分类:并行运算

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:何情
  1. SCAN_LED

    0下载:
  2. 基于EDA技术中的对LED扫描电路的实验,程序能成功运行,能直接在开发板上看实验结果-EDA-based LED technology to scan the experimental circuit, the program can run successfully, can see directly in the development of on-board results
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-20
    • 文件大小:449536
    • 提供者:lie
  1. scan_LED

    0下载:
  2. 1) 输入设备为4*4矩阵键盘,分别代表0~F; 2) 输出设备为四位数码显示管,初始值显示0000,当按下某一键时,最右边的一位数码显示管显示最新一次所按按键的数值,而之前的显示值左移,例如,第一次按‘1’键,则显示0001;第二次按‘3’键,则显示0013;第三次按‘5’键,则显示0135;第四次按‘7’键,则显示1357;第五次按‘9’键,则显示3579,第四次按‘F’键,则显示579F-1) input device
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-20
    • 文件大小:199680
    • 提供者:王广玉
  1. SCAN_LED

    0下载:
  2. 使用GW48平台模式5测试,可以使LED灯轮流扫描显示-5 test using GW48 platform mode, you can make LED lights turn scan shows
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:171008
    • 提供者:盘明
  1. scan_led

    0下载:
  2. 基于QuartusII的三位10进制数码管显示电路-Base 10 based on the three QuartusII digital display circuit
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-20
    • 文件大小:386048
    • 提供者:yhy
  1. scan_led

    0下载:
  2. 八位动态数码管显示 在试验箱上已经实验通过-Dynamic eight digital display
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:
  1. SCAN_LED

    0下载:
  2. 基于FPGA的数字时钟设计,数码管显示,简单易懂,适合初学者-FPGA-based digital clock design, digital display, easy to understand for beginners
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:815104
    • 提供者:张小凡
  1. scan_led

    0下载:
  2. 这是一个键盘扫描程序,对键盘进行行扫描以及列扫描,判断按下的键是哪个-This is a keyboard scanner, keyboard and column scanning line scan to determine which key is pressed
  3. 所属分类:其他小程序

    • 发布日期:2024-05-20
    • 文件大小:171008
    • 提供者:陈依依
  1. scan_led

    0下载:
  2. FPGA扫描LED显示灯,动态扫描,进行流水显示-FPGA Scan LED indicator lights, dynamic scanning, make the water show
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:4141056
    • 提供者:lixing
  1. scan_led

    0下载:
  2. 每个时钟,计数时间,实现8的扫描显示,在数码管上依次显示13579bdf,可以选择EDA实验箱,FPGA EP1C6Q240C8。-Each clock, counting time, achieve 8 scan display, turn on the digital tube display 13579BDF, can choose EDA experimental box, FPGA EP1C6Q240C8.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:LP
  1. scan_led

    0下载:
  2. 用硬件描述语言verilog hdl写的实现动态扫描显示的代码。-Using Hardware Descr iption Language Verilog HDL written to achieve dynamic scanning display code.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:hdwahfi
  1. scan_led

    0下载:
  2. 用于8管脚的LED灯数码管显示,显示16进制数字。。。(LED verilog 8 legs display Verilog)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:123904
    • 提供者:zkylsf

源码中国 www.ymcn.org