搜索资源列表

  1. Shifters_vhdl

    0下载:
  2. -- Title : Barrel Shifter (Pure combinational) -- This VHDL design file is an open design you can redistribute it and/or -- modify it and/or implement it after contacting the author -- You can check the draft licen
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:2048
    • 提供者:陈朋
  1. Log_Shifter_Gate_Level_Design

    0下载:
  2. Log Shifter Gate Level Design using Verilog(IC design Lab) and Lab Note
  3. 所属分类:其他小程序

    • 发布日期:2024-05-29
    • 文件大小:2929664
    • 提供者:eknngx
  1. shifter

    0下载:
  2. 8位双向移位寄存器: 实现串行数据与并行数据的转换,移位寄存数据功能的-8-bit bi-directional shift register: the realization of serial data and parallel data conversion, data storage function of displacement
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:45056
    • 提供者:罗子
  1. barrel_shifter

    0下载:
  2. VHDL实现的桶型移位器,能在一个时钟周期实现对数据的(0-12位)算术右移-VHDL implementation of a barrel—shifter, able to achieve at one clock cycle of data (0-12 bit) Arithmetic Shift Right
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:1024
    • 提供者:过时无双
  1. shifter

    0下载:
  2. this is an example of a shifter in VHDL language
  3. 所属分类:Windows编程

    • 发布日期:2024-05-29
    • 文件大小:2048
    • 提供者:saoussen
  1. Shifter

    1下载:
  2. 这是一个用vHDL语言实现的移位器,可以实现移位功能-This is the design of an shifter using vhdl
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:184320
    • 提供者:maxpayne
  1. shifter

    0下载:
  2. 移位运算器SHIFTER 使用Verilog HDL 语言编写,其输入输出端分别与键盘/显示器LED 连接。移位运算器是时序电路,在J钟信号到来时状态产生变化, CLK 为其时钟脉冲。由S0、S1 、M 控制移位运算的功能状态,具有数据装入、数据保持、循环右移、带进位循环右移,循环左移、带进位循环左移等功能。 CLK 是时钟脉冲输入,通过键5 产生高低电平M 控制工作模式, M=l 时带进位循环移位,由键8 控制CO 为允许带进位移
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:129024
    • 提供者:623902748
  1. ALU

    0下载:
  2. VHDL实现cpu核心逻辑与运算单元模块的实现,完成4bit*4bit输入8bit输出的运算,可做加减乘除逻辑移位6种操作-the implementation of Arithmetic and logic unit based on VHDL, can do as the adder,subtractor,multiplier,divider,shifter and logic operation.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:619520
    • 提供者:caolei
  1. shifter

    0下载:
  2. 移位寄存器,可以串行输入,并行输入,串行输出-Shifter register which can
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:1024
    • 提供者:吴传平
  1. shifter

    0下载:
  2. vhdl,双向移位寄存器,实现置数,左移及右移操作-vhdl, bi-directional shift register to achieve set the number of left and right shift operation
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:32768
    • 提供者:王晓虎
  1. jincunqi

    0下载:
  2. VHDL语言实现的移位器,功能包括算术左移和右移,逻辑左移和右移,循环左移和右移。-VHDL language implementation of the shifter, left and right shift functions include arithmetic, logical left and shifted to the right, left and right shift cycle.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:285696
    • 提供者:吴越
  1. Barrel_shifter

    1下载:
  2. verilog语言的桶形移位器,实验课上做的,大家别见笑-Barrel shifter
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:3631104
    • 提供者:zhangrongfei
  1. Move071221133_32

    0下载:
  2. 用Verilog HDL语言或VHDL语言来编写,实现32位的桶形移位器。 并在Quartus Ⅱ上实现模拟仿真;-With the Verilog HDL language or VHDL language to write to achieve 32-bit barrel shifter. To achieve in the Quartus Ⅱ simulation
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:818176
    • 提供者:于伟
  1. 3

    0下载:
  2. simple code based on verilog shifter , cla ,clg
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:1024
    • 提供者:Tera
  1. 5

    0下载:
  2. simple code based on verilog shifter , cla ,clg , ALU ,PC, decoder , tb_top
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:16384
    • 提供者:Tera
  1. barrierShifter32bit071221136

    0下载:
  2. 用VHDL语言所书写的32位桶形移位器,在QuartusII中编译通过-Written in VHDL language using 32-bit barrel shifter, compiled by the QuartusII
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-29
    • 文件大小:1800192
    • 提供者:zdd
  1. SHIFTER

    0下载:
  2. SHIFTER描述移位寄存器的功能以及VHDL硬件语言的实现-SHIFTER describe the functions of the shift register and the realization of VHDL hardware language
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:3072
    • 提供者:SHEIN
  1. SHIFTER

    0下载:
  2. 使用VHDL语言编写的移位加法器,经过硬件实现通过-shifter
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:146432
    • 提供者:Saint Zhang
  1. attachments_15_02_2011.

    0下载:
  2. barrel shifter in vhdl coding
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:1024
    • 提供者:cdac
  1. barrael-shifter

    0下载:
  2. its barrel shifter in mentor graphis
  3. 所属分类:软件工程

    • 发布日期:2024-05-29
    • 文件大小:7168
    • 提供者:sreenivasulu
« 12 3 4 5 6 7 8 »

源码中国 www.ymcn.org