搜索资源列表

  1. SQRT

    1下载:
  2. 本程序实现标准浮点数开平方SQRT(x)。 入口参数:X在ARGBH1:ARGBL1:EXP1中。 出口参数:SQRT(X)在ARGBH1:ARGBL1:EXP1中。 占用资源:W,STATUS,020H~02CH,两重堆栈-the program open standards float square SQRT (x). Entrance parameters : X in ARGBH1 : ARGBL1 : EX
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1523
    • 提供者:杨贵平
  1. Sqrt高速算法分析

    0下载:
  2. 介绍了Domm2中sqrt函数的快速算法的分析(英文内容),据说比标准函数快4倍
  3. 所属分类:文档资料

  1. SQRT

    0下载:
  2. computes the square root of a 16 bit number in pic16c
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-18
    • 文件大小:1024
    • 提供者:zwj
  1. sqrt2series

    0下载:
  2. 一个非常简单的计算高精度sqrt(2)的源代码,计算时间短-a very simple calculation precision sqrt (2) the source code, a short calculation time
  3. 所属分类:数值算法/人工智能

    • 发布日期:2024-05-18
    • 文件大小:1024
    • 提供者:王润清
  1. SQRT

    0下载:
  2. 本程序实现标准浮点数开平方SQRT(x)。 入口参数:X在ARGBH1:ARGBL1:EXP1中。 出口参数:SQRT(X)在ARGBH1:ARGBL1:EXP1中。 占用资源:W,STATUS,020H~02CH,两重堆栈-the program open standards float square SQRT (x). Entrance parameters : X in ARGBH1 : ARGBL1 : EX
  3. 所属分类:其他小程序

    • 发布日期:2024-05-18
    • 文件大小:1024
    • 提供者:杨贵平
  1. fpu_v18

    0下载:
  2. <Floating Point Unit Core> fpupack.vhd pre_norm_addsub.vhd addsub_28.vhd post_norm_addsub.vhd pre_norm_mul.vhd mul_24.vhd vcom serial_mul.vhd post_norm_mul.vhd pre_norm_div.vhd serial_div.vhd
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:477184
    • 提供者:陈朋
  1. sqrtforinteger

    0下载:
  2. Did anyone ask for an integer version of sqrt? Following is an implementation I found and adapted. Hope it is useful for somebody. -Did anyone ask for an integer version of sqr Following is not an implementation I fo
  3. 所属分类:书籍源码

    • 发布日期:2024-05-18
    • 文件大小:1024
    • 提供者:夏志勋
  1. sqrt

    0下载:
  2. verilog 硬件平方根算法 采用与笔算平方根一样的算法-Verilog hardware and written calculation algorithm uses the square root of the square root of the same algorithm
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:17408
    • 提供者:lizhizhou
  1. sqrt

    0下载:
  2. 入门级的开方程序,CC4.1,ASM,VC33用-Prescribing procedures for entry-level, CC4.1, ASM, VC33 use
  3. 所属分类:汇编语言

    • 发布日期:2024-05-18
    • 文件大小:3072
    • 提供者:鬼羽子
  1. Example_Sqrt

    0下载:
  2. 比库函数快得多的开平法算法,摘自鬼佬代码-A last sqrt algorithm , better than the standard lib
  3. 所属分类:Windows编程

    • 发布日期:2024-05-18
    • 文件大小:1024
    • 提供者:lin hua shang
  1. ref-sqroot

    0下载:
  2. 这是用于VHDL的开方运算,大家试试看,能不能好用-sqrt
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:39936
    • 提供者:李刚
  1. ComplexFunction

    0下载:
  2. 本程序包括大部份复数处理函数:abs()、arg()、conj()、sin()、cos()、sinh()、cosh()、exp()、log()、log10()、norm()、polar()、pow()、sqrt()等。-look it yourself.
  3. 所属分类:ActiveX/DCOM

    • 发布日期:2024-05-18
    • 文件大小:1010688
    • 提供者:雾水葛
  1. sqrt

    0下载:
  2. 求解正数的算数平方根,非c语言自带函数!-Solution is the square root of the number of counts, non-c language of its own function!
  3. 所属分类:软件工程

    • 发布日期:2024-05-18
    • 文件大小:32768
    • 提供者:细胞
  1. sqrt

    0下载:
  2. 自己写的算平方根的函数sqrt,并且用C库的sqrt进行了验证,十分精确。可以用在没有math库的情况,尤其是嵌入式程序中。-My own function of the square root of the operator sqrt, and use C library sqrt verified, highly accurate. It can be used in case there is no math library, i
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-18
    • 文件大小:6144
    • 提供者:thatguy
  1. calc1.3

    0下载:
  2. single app that implements a calculator that evaluates an expression written in natural way. For example 3+5*(sqrt(9)) where sqrt y square root. any question? write me at croccifixio29@hotmail.com-single app that implem
  3. 所属分类:ActiveX/DCOM

    • 发布日期:2024-05-18
    • 文件大小:60416
    • 提供者:CROCCIFIXIO
  1. sqrt(x)

    0下载:
  2. 用汇编语言及牛顿迭代法编写的求一个数的平方根的源代码,环境windlx-Using assembly language and the Newton iterative method for the preparation of a number of the square root of the source code, the environment windlx
  3. 所属分类:汇编语言

    • 发布日期:2024-05-18
    • 文件大小:2048
    • 提供者:沐夕
  1. sqrt

    0下载:
  2. TI280XDSP上实现的32位开方程序,可以移植到其他DSP模块中。-TI280XDSP to achieve 32-bit prescribing procedures, can be transferred to other DSP module.
  3. 所属分类:DSP编程

    • 发布日期:2024-05-18
    • 文件大小:1024
    • 提供者:hutian
  1. sqrt

    0下载:
  2. it is a sqrt module ,with test bench.
  3. 所属分类:串口编程

    • 发布日期:2024-05-18
    • 文件大小:1024
    • 提供者:wugang
  1. sqrt

    0下载:
  2. This zip file contains the verilog source code for square root calculation and its test bench
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:2048
    • 提供者:Jaganathan
  1. sqrt

    0下载:
  2. 实现对32位定点数的开方,60M主频28015硬件下运行时间小于10us-function of sqrt
  3. 所属分类:DSP编程

    • 发布日期:2024-05-18
    • 文件大小:1024
    • 提供者:刘东
« 12 3 4 5 6 7 8 9 10 ... 15 »

源码中国 www.ymcn.org