搜索资源列表

  1. UART_RX

    0下载:
  2. how to receive data with a Pic 18f252
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:27298
    • 提供者:mark
  1. uart_rx

    1下载:
  2. actel A3P250 fpga用VERILOG HDL语言实现串口功能的源代码
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:533137
    • 提供者:wuqj
  1. UART_RX

    0下载:
  2. how to receive data with a Pic 18f252
  3. 所属分类:Windows编程

    • 发布日期:2024-06-09
    • 文件大小:26624
    • 提供者:mark
  1. uart_rx

    0下载:
  2. actel A3P250 fpga用VERILOG HDL语言实现串口功能的源代码-actel A3P250 fpga with VERILOG HDL Serial functional language source code
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-06-09
    • 文件大小:533504
    • 提供者:wuqj
  1. uart

    0下载:
  2. vhdl语言编写的实现uart协议的程序,用于rs232电气接口程序开发.支持比特率从2400-115200.-VHDL languages realize UART protocol procedures, electrical RS232 interface for program development. to support the bit rate from 2400-115200.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:5120
    • 提供者:陈想
  1. UART_RX

    0下载:
  2. receiver data from computer via com-interface.
  3. 所属分类:串口编程

    • 发布日期:2024-06-09
    • 文件大小:463872
    • 提供者:mih
  1. s3esk

    1下载:
  2. spartan 3e开发板的实验例程,包括对应的说明文档-spartan 3e development board test routines, including the corresponding documentation
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:34325504
    • 提供者:aegis
  1. uart_rx

    0下载:
  2. Universal Asyncronos Received Transmitter
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:1024
    • 提供者:preseo
  1. uart_rx

    0下载:
  2. Tcode is in VERILOG HDL (Hardware descr iption language) code is of UART (universal asynchronous receiver&transmitter) receiver . its objective is to accept serial data from port of computer and allow it to come in a
  3. 所属分类:其他小程序

    • 发布日期:2024-06-09
    • 文件大小:1024
    • 提供者:hassan
  1. uart_rx

    0下载:
  2. quartus.exe 环境下经过编辑和仿真之后,作为FPGA器件的实验用串口接收数据驱动。 -quartus.exe edited and policy environment after the experiment as the FPGA device to receive data-driven serial port.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:1024
    • 提供者:
  1. uart_rx

    0下载:
  2. 实现从电脑上接收数字,在每个接收信号的中间位采样,并且可以在开始的时候判别噪声。下载已过,功能正常-From a computer to receive digital, in the middle bit of each received signal sample, and can determine the noise at the beginning. Download is over, the normal function
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:108544
    • 提供者:cherry
  1. uart_rx

    0下载:
  2. FPGA与计算机通信的串口接收程序,用于与计算机或者其他FPGA通信-receive process of FPGA of serial communication, to communicate with computer and other FPGAs
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:1024
    • 提供者:
  1. uart_rx.fit

    0下载:
  2. uart core : uart rx fit
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:12288
    • 提供者:cuong
  1. UART_RX

    0下载:
  2. UART串口采集,板级验证实现完毕,已应用到过程中。-The UART serial acquisition, board-level validation achieve finished, and has been applied to the process.
  3. 所属分类:串口编程

    • 发布日期:2024-06-09
    • 文件大小:1024
    • 提供者:wsk
  1. uart_rx

    0下载:
  2. 用VHDL语言实现的Uart串口通信程序。在xilinx公司FPGA芯片验证过。-Uart serial communication program using VHDL. Validation in xilinx Company FPGA chip.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:2048
    • 提供者:窦斌斌
  1. uart_rx

    0下载:
  2. uart通信方式的接受模块,在串口通信uart中,需要记录来自外设的数据,进行采集和时序控制,进行异步的传输。-acceptance uart communication module, serial communication uart need to record data from peripherals, acquisition and timing control, asynchronous transmission.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:1024
    • 提供者:neal
  1. uart_rx

    0下载:
  2. UART RX spartan 3e starter kit
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:182272
    • 提供者:cel
  1. UART_Rx

    0下载:
  2. 该程序是PSoc3的UART部分例程,它可以帮助初学者很快的认识PSoC3的UART,该程序可直接使用。-The program is the UART routine of PSoC3. It can help beginners to learn the UART part of PSoC3quickly and can be applied directly.
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-06-09
    • 文件大小:2082816
    • 提供者:张伟
  1. uart_Rx

    0下载:
  2. 在Xilinx的SP605开发板上实现了FPGA接收数据的串口通讯,接收数据是Led会亮,没有接收数据的时候灯是灭的。-Xilinx SP605 development board FPGA receives serial data communication receive data Led lights not receive data when the lights are off.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:256000
    • 提供者:曹荣丽
  1. uart_rx

    0下载:
  2. 硬件描述语言设计的串口UART 接收源代码。-VerilogHDL UART RX RTL SOURCE CODE
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:1024
    • 提供者:zengshengjin
« 12 »

源码中国 www.ymcn.org