搜索资源列表

  1. usart_verilog

    0下载:
  2. 通用串行异步收发器8251的Verilog HDL源代码.doc-Universal Serial Asynchronous Receiver Transmitter 8251 the Verilog HDL source code. Doc
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-08
    • 文件大小:15360
    • 提供者:赵国柱

源码中国 www.ymcn.org