搜索资源列表

  1. VHDLFIFO

    0下载:
  2. 用Verilog 写一个8x16 的FIFO,完成先入先出的功能,并且在FIFO读空时输出EMPTY 有效信号,读指针RP 不再移动;FIFO 写满时输出FULL 有效信号,并且即使WR 有效也 不再向存储单元中写入数据(写指针WP 不再移动)。 -NO
  3. 所属分类:SCSI/ASPI

    • 发布日期:2024-06-17
    • 文件大小:3072
    • 提供者:陈远贵
  1. vhdlfifo

    0下载:
  2. fifo- source code for fifo using VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-17
    • 文件大小:1223680
    • 提供者:nagarjuna reddy

源码中国 www.ymcn.org