搜索资源列表

  1. trafficcontrol

    0下载:
  2. 基于有限状态机的VHDL交通灯程序, 其中包括两种不同的思路;设计两种控制器 读者还可以根据实际应用更改设计,十分方便。-jtd vhdl traffic time control and led display
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-19
    • 文件大小:7168
    • 提供者:lulin
  1. traffic_light

    0下载:
  2. 交通灯控制系统,包括UART模块的设计和实现-Traffic light control system, including the UART module design and implementation of
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:441344
    • 提供者:ls053758
  1. jtd

    0下载:
  2. 本实验要完成任务就是设计一个简单的交通灯控制器,交通灯显示用实验箱的交通灯模块和七段码管中的任意两个来显示。系统时钟选择时钟模块的1KHz 时钟,黄灯闪烁时钟要求为2Hz,七段码管的时间显示为1Hz 脉冲,即每1s 中递减一次,在显示时间小于3 秒的时候,通车方向的黄灯以2Hz的频率闪烁。系统中用S1 按键进行复位。-To complete the tasks in this experiment is to design a simp
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:41984
    • 提供者:卢陶
  1. trafficlight

    0下载:
  2. 实现十字路*通灯控制,红灯亮时间为40s,绿灯亮时间为35s,黄灯亮5s,黄灯会在绿灯亮完后闪烁5s-To achieve control of traffic lights at the crossroads, the red light time to 40s, the green light time of 35s, bright yellow 5s, yellow light will blink green after 5s
  3. 所属分类:汇编语言

    • 发布日期:2024-05-19
    • 文件大小:4096
    • 提供者:戴路
  1. traffic

    0下载:
  2. 交通灯 vhdl 进程
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:866304
    • 提供者:吴小平
  1. trafficled

    0下载:
  2. 数字电路的交通灯设计,具有主道和旁道两个不同时间的控制处理,使用vhdl语言编译,附有完整的报告及代码,我没有对我的信息进行删除,是希望大家能够诚实的利用这个代码,提高自身本领。-Digital circuit design of a traffic light with a main road and bypass roads are two different time control processing, using vhdl
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:2599936
    • 提供者:xiaoyao9933
  1. statemachine

    0下载:
  2. 一个用vhdl语言写的交通灯控制的例子,可以很好的学习vhdl语言中状态机的使用。-Written in a language with vhdl traffic light control case study can be a good vhdl state machine language to use.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:tofly
  1. lastjiaotongdeng

    0下载:
  2. vhdl 交通灯源代码(特殊状态控制,数码管动态扫描,时间预置功能)-vhdl source code of traffic lights (special state control, the digital dynamic scanning, the time preset function)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:128000
    • 提供者:xtbbbbdx
  1. VHDL

    0下载:
  2. 2人抢答器 简易循环彩灯(红灯3s,绿灯2s,黄灯1s) 交通灯-2 Responder simple cycle lights (red 3s, green 2s, yellow 1s) traffic lights
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:149504
    • 提供者:yu
  1. vhdl

    0下载:
  2. 交通灯控制 频率计case when语句 vhdl硬件描述语言编写-Vhdl traffic light control hardware descr iption language of transformation to achieve control of traffic lights
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:2048
    • 提供者:damon
  1. traffic

    0下载:
  2. 用VHDL交通灯的实现,主干道红绿黄分别为40S20S5S,次干道红绿黄分别为20S5S,采用状态转换-Implementation of traffic lights with VHDL, red, green and yellow were the main road 40S20S5S, red, green and yellow secondary roads were 20S5S, using state transition
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:594944
    • 提供者:董亮
  1. vhdl

    0下载:
  2. 交通灯的控制执行与拐弯基于VHDL语言的交通灯控制器设计-Traffic lights
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:张然
  1. Traffic-Light-Control-VHDL

    0下载:
  2. 实现东西南北四向交通灯控制。。1.东西主干道、南北支干道方向各有一组红,黄,绿灯用于指挥交通,主干道东西方向红、黄、绿灯的持续时间分别为30s,5s,50s;支干道南北方向红、黄、绿灯的持续时间分别为50s,5s,30s。 2.当有紧急情况(如消防车)时两个方向均为红灯亮,计时停止,数据清零,当特殊情况结束后,控制器恢复原来状态,正常工作。 3.以倒计时方式显示两个方向允许通行或禁止通行的时间。 -traffic light c
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:838656
    • 提供者:陈飞
  1. The-traffic-light-controller-VHDL

    0下载:
  2. 基于VHDL的交通灯控制器设计,红灯45秒,黄灯5秒,绿灯40秒,运用状态机原理-The traffic light controller design based on VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:104448
    • 提供者:林立强
  1. VHDL-traffic-light

    0下载:
  2. 本科做的课程设计 用vhdl 语言 设置交通灯的闪烁-use vhdl to set traffic light
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:233472
    • 提供者:沈志鑫
  1. Subway-automatic-ticket-system-VHDL

    0下载:
  2. VHDL的课程设计,包括地铁自动售票以及交通灯等设计-VHDL curriculum design, including a subway ticket and traffic lights design
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:562176
    • 提供者:陈鹏
  1. vhdl

    0下载:
  2. 交通灯的vhdl实现,实现完全交通等功能,可供调试-Traffic lights to achieve the VHDL, to achieve complete traffic and other functions, can be used for debugging
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1147904
    • 提供者:liuyang
  1. VHDL-traffic-light

    0下载:
  2. VHDL源代码以及仿真结果,全面的 交通灯 (红绿灯)系统制作介绍,并附有代码注释-VHDL source code and simulation results, a comprehensive traffic lights (red light) system is introduced, and a code Notes
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:115712
    • 提供者:文子
  1. VHDL

    0下载:
  2. 本设计中选用目前应用较广泛的VHDL硬件电路描述语言,实现对路*通灯系统的控制器的硬件电路描述,在Altera公司的EDA软件平台MAX+PLUSⅡ环境下通过了编译、仿真,并下载到CPLD器件上进行编程制作,实现了交通灯系统的控制过程。-And select and use Descr iption Language applying broader VHDL hardware circuit at present in capita
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:266240
    • 提供者:陈金峰
  1. traffic-light-controller-VHDL

    0下载:
  2. vHDL实现 自顶向下的 交通灯控制器 -VHDL program implement for traffic light controller
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1017856
    • 提供者:whb
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 22 »

源码中国 www.ymcn.org