搜索资源列表

  1. subr

    0下载:
  2. VHDL 8位无符号除法器 试验报告 计算前在A和B端口输入被除数和除数,然后在Load线上送高电平,把数据存到除法计算电路内部,然后经过若干个时钟周期,计算出商和余数,并在C和D端输出。 其实现方法是,将除法器分为两个状态:等待状态与运算状态。 开始时除法器处于等待状态,在该状态,在每一时钟上升沿,采样Load信号线,若是低电平,则仍处于等待状态,如果采样到高电平,除法器读取A,B数据线上的输入数据,保存到内部寄存器a_r
  3. 所属分类:Internet/网络编程

    • 发布日期:2008-10-13
    • 文件大小:83109
    • 提供者:aa
  1. vhdl实现除法器

    0下载:
  2. vhdl实现除法器
  3. 所属分类:其它资源

    • 发布日期:2010-10-27
    • 文件大小:1050
    • 提供者:sunchao1228
  1. VHDL除法器

    0下载:
  2. 用vhdl实现除法器,很好用,经过验证!
  3. 所属分类:源码下载

  1. subr

    0下载:
  2. VHDL 8位无符号除法器 试验报告 计算前在A和B端口输入被除数和除数,然后在Load线上送高电平,把数据存到除法计算电路内部,然后经过若干个时钟周期,计算出商和余数,并在C和D端输出。 其实现方法是,将除法器分为两个状态:等待状态与运算状态。 开始时除法器处于等待状态,在该状态,在每一时钟上升沿,采样Load信号线,若是低电平,则仍处于等待状态,如果采样到高电平,除法器读取A,B数据线上的输入数据,保存到内部寄存器a_r
  3. 所属分类:ISAPI/IE编程

    • 发布日期:2024-05-12
    • 文件大小:82944
    • 提供者:aa
  1. DivArrUns

    0下载:
  2. 用VHDL实现的除法器,非常好使,仿真通过了-Using VHDL realize the divider, so very, simulation adopted
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:3072
    • 提供者:初德进
  1. 353fpga

    0下载:
  2. 用vhdl实现的除法器-Achieved using VHDL divider
  3. 所属分类:软件工程

    • 发布日期:2024-05-12
    • 文件大小:1024
    • 提供者:wenhao sun
  1. djdcf

    0下载:
  2. 在3D图像处理等对运算要求高的领域,高效除法器已成为处理器内必不可少的部件。在分析除法器设计的泰勒级数展开算法基础上,提出了一种新的除法器设计算法。在满足同样精度的情况下,所实现的三级流水线的除法器,与基于泰勒级数展开算法的除法器相比,面积更小,速度更快。-In 3D image processing and so on, demanding area of computing, efficient divider has become
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:157696
    • 提供者:usbusb01
  1. divider

    1下载:
  2. 此代码用于实现基2的SRT除法器设计,可以实现400MHz以上的32位定点无符号数除法器(除数、被除数和余数均由16位整数和16位小数组成,商由32位整数和16位小数构成,包括源代码和测试文件,可以直接仿真。-This code used to realize the base 2 SRT divider design, you can realize more than 400MHz unsigned 32-bit fixed-poi
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:2048
    • 提供者:朱秋玲
  1. divider

    0下载:
  2. 经过精心设计的除法器的代码,并在FPGA硬件平台实现和验证过的-Meticulously designed divider code, and FPGA hardware platform and tested
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:1024
    • 提供者:hewg
  1. div_aegp

    0下载:
  2. 用VHDL语言实现的除法器,可以处理非整除运算。精度0.004-VHDL language used to achieve the divider, you can deal with non-divisible operations. Accuracy of 0.004
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:1024
    • 提供者:sunfat
  1. what

    0下载:
  2. 除法器,可以很好的实现VHDL除法器的功能对于初学者有很大帮助. -Divider can be very good VHDL divider realize the function of great help for beginners.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:1024
    • 提供者:panjun
  1. baweichufaqi

    0下载:
  2. 介绍了利用VHDL实现八位除法,采用层次化设计,该除法器采用了VHDL的混合输入方式,将除法器分成若干个子模块后,对各个子模块分别设计,各自生成功能模块完成整体设计,实现了任意八位无符号数的除法。 -Introduced the use of VHDL to achieve eight division, the use of hierarchical design, the divider using VHDL mixed-inp
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:4096
    • 提供者:佘斌
  1. divider

    0下载:
  2. 移位快速除法器,通过一次移4位试商实现快速除法功能,较普通减除法器有及其巨大的效率提升-Divider rapid shift by a shift to four test functions of rapid division, as compared with ordinary objects have less efficiency and its huge
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:1024
    • 提供者:jh
  1. Divider

    0下载:
  2. 一个用vhdl硬件描述语言实现的一个比较简单的除法器-an divider using vhdl
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:148480
    • 提供者:maxpayne
  1. juzhenqufaqi

    0下载:
  2. 基于FPGA单精度浮点除法器的实现,有一些源代码,仅供参考。-FPGA-based single-precision floating-point divider realization, there are some source code, for reference purposes only.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:6144
    • 提供者:helinglin
  1. divider

    0下载:
  2. 8位的除法器。用VHDL语言进行设计实现。-8-bit divider. With VHDL design languages.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:5120
    • 提供者:张怡萍
  1. div_8

    0下载:
  2. 八位除法器 VHDL实现 八位除法器 VHDL实现-8-Bit divider 8-Bit divider 8-Bit divider
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:1024
    • 提供者:郑书鑫
  1. divider

    0下载:
  2. 带时钟及控制的多位除法器设计,利用状态机来实现控制-multi-cycle divider design
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:96256
    • 提供者:李丽萍
  1. VHDL

    0下载:
  2. 除法器 4位除法器 可以编程实现 有启发意义-4-bit divider divider can be programmed instructive
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:51200
    • 提供者:guoyishi
  1. chengxu

    0下载:
  2. 4位乘法器,4位除法器,K倍频的VHDL实现-Four multipliers, four dividers, K multiplier of VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:2048
    • 提供者:郭慧
« 12 »

源码中国 www.ymcn.org