搜索资源列表

  1. 数码管扫描显示转换模块

    0下载:
  2. 数码扫描显示转换模块,可以对数码内容进行扫描,同时可进行转换-digital scan conversion modules, the digital content can scan, which can also be converted
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-04
    • 文件大小:34816
    • 提供者:jia
  1. SIJTQ6tQ

    0下载:
  2. 利用一块芯片完成除时钟源、按键、扬声器和显示器(数码管)之外的所有数字电路功能。所有数字逻辑功能都在CPLD器件上用VHDL语言实现。这样设计具有体积小、设计周期短(设计过程中即可实现时序仿真)、调试方便、故障率低、修改升级容易等特点。 本设计采用自顶向下、混合输入方式(原理图输入—顶层文件连接和VHDL语言输入—各模块程序设计)实现数字钟的设计、下载和调试。 一、 功能说明 已完成功能 1. 完成秒/分/时的依次
  3. 所属分类:压缩解压

    • 发布日期:2024-05-04
    • 文件大小:677888
    • 提供者:luoliang
  1. 4cheng4jianpanxianshi

    0下载:
  2. 4乘4键盘识别与显示程序和说明(vhdl) 设计了一个的4x4键盘识别与显示模块。小键盘中有0~f共16个按键,小键盘和数码管都连接到PLD芯片上。-4 x 4 keyboard and display identification and descr iption of procedures (vhdl) designed a recognition of the 4x4 keypad and display module. Sm
  3. 所属分类:通讯编程

    • 发布日期:2024-05-04
    • 文件大小:88064
    • 提供者:coolrainy
  1. alarm

    0下载:
  2. 1.6个数码管动态扫描显示驱动 2.按键模式选择(时\分\秒)与调整控制 3.用硬件描述语言(或混合原理图)设计时、分、秒计数器模块、按键控制状态机模块、动态扫描显示驱动模块、顶层模块。要求有闹钟定闹功能,时、分定闹即可,无需时、分、秒定闹。要求使用实验箱左下角的6个动态数码管(DS6 A~DS1A)显示时、分、秒;要求模式按键和调整按键信号都取自经过防抖处理后的按键跳线插孔。-1.6 Digital control of dy
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-04
    • 文件大小:621568
    • 提供者:xulina
  1. 9999counter

    0下载:
  2. ——9999计数器模块 四输出 设计要求频率计为四段显示,故计数器采用0~~9999计数,可以很好的利用数码管,以及增加频率计的精确度。模块内包含俩个进程,一为计数进程,二为时基信号控制计数模块数据输出进程。
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-04
    • 文件大小:1024
    • 提供者:张伯伦
  1. light

    0下载:
  2. A方向和B方向各设红(R)、黄(Y)、绿(G)和左拐(L)4盏灯,4种灯按合理的顺序亮灭,并将时间以倒计时的形式显示出来。同时要设置两个方向的紧急通道模式,当某一方向有紧急事件发生时,交警按下紧急通道按钮,该方向绿灯亮,另外一个方向红灯亮,等紧急事件解除后(假定计时10s),交通恢复原来的状态 要求在数码管上显示两个方向的灯种和计时,实现紧急情况按钮输入功能 根据交通灯控制要实现的功能,可考虑用两个并行执行的always模
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-04
    • 文件大小:603136
    • 提供者:张星
  1. serial

    0下载:
  2. -- 本模块的功能是验证实现和PC机进行基本的串口通信的功能。需要在 --PC机上安装一个串口调试工具来验证程序的功能。 -- 程序实现了一个收发一帧10个bit(即无奇偶校验位)的串口控 --制器,10个bit是1位起始位,8个数据位,1个结束 --位。串口的波特律由程序中定义的div_par参数决定,更改该参数可以实 --现相应的波特率。程序当前设定的div_par 的值是0x104,对应的波特率是 --96
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-04
    • 文件大小:65536
    • 提供者:johnson
  1. tingchechang

    0下载:
  2. 停车场显示是日常生活中使用很平常的系统,停车场显示系统的核心是LED显示。本次设计使用KH-310实验箱,使用点阵模块和数码管模块,根据显示的扫描原理,利用8X8点阵模拟实际停车位并由数码管显示车位信息。- Parking is a show system that everyday life is usual to use the information.parking display system is the core of t
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-04
    • 文件大小:1097728
    • 提供者:huangyang
  1. qda

    0下载:
  2. 三路智力竞赛抢答器,利用VHDL设计抢答器的各个模块,并使用EDA 工具对各模块进行仿真验证。智力竞赛抢答器的设计分为四个模块:鉴别锁存模块;答题计时模块;抢答计分模块以及扫描显示模块。把各个模块整合后,通过电路的输入输出对应关系连接起来。设计成一个有如下功能的抢答器: (1)具有第一抢答信号的鉴别锁存功能。在主持人发出抢答指令后,若有参赛者按抢答器按钮,则该组指示灯亮,数码管显示出抢答者的组别。同时电路处于自锁状态,使其他组的抢答
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-04
    • 文件大小:234496
    • 提供者:menglj
  1. fpgada0832

    0下载:
  2. 该波形发生器以单片机(MCS8031)为中心控制单元,由键盘输入模块、数码管显示模块、D/A波形发生模块dac0832、幅值调整模块组成。采用DDFS技术,先将要求的波形数据存储于EEPROM中,这样可以保证掉电以后波形数据不丢失。-The waveform generator to single-chip microcomputer (MCS8031) as the central control unit, by the keybo
  3. 所属分类:软件工程

    • 发布日期:2024-05-04
    • 文件大小:172032
    • 提供者:litong
  1. display

    0下载:
  2. 一个用VHDL语言编写的七段数码管显示程序,后续还有分频器、数据选择器、计数器程序等软件平台是Quartus II 7.2 ,最后通过这些小的模块可以组合起来制作出一个时钟或者其它的任意进制计数器,适合初学者,通过这些程序,刚接触VHDL的学习者可以一步步的去认识和了解VHDL,最后通过设计一个具有实用功能的电路,来增加学习者的成就感和学习兴趣。所有程序软硬件调试都成功通过,硬件平台是自己学校设计的一块开发板,要了解的可以联系本人。联系
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-04
    • 文件大小:234496
    • 提供者:QQ
  1. jtd2

    0下载:
  2. 基于VHDL状态机设计的智能交通控制灯 总体设计结构框图如图2所示,共有11个功能模块,包括控制东西方向交通灯的状态机和控制南北方向交通灯的状态机、计数器模块、键盘扫描模块、数字合成模块、三个分位模块、数码管显示模块、动态显示扫描模块。-VHDL-based state machine design of intelligent traffic control lights
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-04
    • 文件大小:457728
    • 提供者:周远航
  1. VHDLdigital

    0下载:
  2. 7段数码管译码器设计与实现 一.实验目的 1. 掌握7段数码管译码器的设计与实现 2. 掌握模块化的设计方法 二.实验内容 设计一个7段数码管译码器,带数码管的4位可逆计数器 [具体要求] 1. 7段数码管译码器 使用拨码开关SW3, SW2, SW1, SW0作为输入,SW3为高位,SW0为低位。 将输出的结果在HEX1,HEX0显示。当输入为‘0000’~‘1111’显示为00~1
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-04
    • 文件大小:89088
    • 提供者:爱好
  1. vhdl

    0下载:
  2. 基于fpga的vhdl语言,芯片是ep2c8系列,此代码实现的是秒表显示,毫秒到分的数码管显示,数码管是共阳的,分模块设计的,-The vhdl fpga-based language, the chip is ep2c8 series, this code is implemented stopwatch showed milliseconds to-point digital control, digital control is
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-04
    • 文件大小:2525184
    • 提供者:liyu
  1. VHDL-based-design-of-SPI

    0下载:
  2. 基于VHDL的串行同步通信SPI设计 本设计是用Quartus作为开发环境,以DE2板为硬件平台实现的SPI同步串行通讯。设计过程方便。根据接收和发送两个主要部分实现了SPI的基本功能。此外,该设计还实现了波特率发生器,数码管显示的功能。用DE2板实现具有电路简洁,开发周期短的优点。充分利用了EDA设计的优点。开发过程用了VHDL硬件描述语言进行描述,从底层设计,分模块进行,充分提高了设计者的数字逻辑设计的概念。-VHDL-bas
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-04
    • 文件大小:51200
    • 提供者:陈添
  1. vhdl-digitle-clock

    0下载:
  2. 数字时钟,四位八段数码管显示,分为显示模块,分频模块,计数模块。-digitle clock,lab display
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-04
    • 文件大小:4096
    • 提供者:郝跃星
  1. test12

    0下载:
  2. 自己用VerilogHDL语言编写的时钟程序,包括时钟进位计数模块,数码管显示模块和闹钟模块。在cpld芯片上经测试有效(开发环境没找到VerilogHDL,就选了VHDL,其实他们不一样的……)-Clock with Verilog HDL language written procedures, including clock binary counter module, digital display and alarm modu
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-04
    • 文件大小:1024
    • 提供者:潘昕
  1. counter_displayer

    0下载:
  2. 基于fpga的vhdl数码管显示模块。已经译码,采用串行数据。(number displar base on vhdl)
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-05-04
    • 文件大小:331776
    • 提供者:Mr.zeal
  1. teacher_uart

    0下载:
  2. 由verilog编写的uart收发模块,能够在串口助手发送字符,并在数码管上显示,开发板为basys3 内置约束文件(The UART transceiver module written by Verilog can send characters to serial assistant and display them on the digital tube. the development board is built-in co
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-04
    • 文件大小:1925120
    • 提供者:abc1997
  1. VHDL模块

    0下载:
  2. 直接用模块就行了,加入到quartus里面即可(just use these modularities,then add these into your quartus)
  3. 所属分类:汇编语言

    • 发布日期:2024-05-04
    • 文件大小:8192
    • 提供者:奥斯卡金奖
« 12 »

源码中国 www.ymcn.org