搜索资源列表

  1. VHDL状态机实现

    0下载:
  2. VHDL语言实现状态机的详细介绍
  3. 所属分类:编程文档

    • 发布日期:2010-10-25
    • 文件大小:115968
    • 提供者:tlliuer@tom.com
  1. 7状态机设计

    0下载:
  2. 这是“状态机设计(讲稿)”,希望对正在学VHDL的同学有帮助,谢谢!-This is the "state machine design (the scr ipt)", and I hope to learn VHDL is there to help the students, thank you!
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:5338112
    • 提供者:振臂
  1. 状态机设计

    0下载:
  2. 详细说明状态机的设计,用VHDL实现,是不错的教程-detailed state machine design, VHDL, is a good guide
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:113664
    • 提供者:wl
  1. 8.10

    0下载:
  2. 强烈推荐下载,verilog状态机实例.可以在modelsim下运行. -strongly recommend downloading Verilog state machine example. In modelsim running.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:18432
    • 提供者:陶玉辉
  1. 0809conventorvhdl

    0下载:
  2. 1.AD0809转换器的vhdl实现 2.用状态机来实现不同状态的动态切换,思路明晰简单实现。 3.内含注释,易于修改和理解 4.对数码管的动态扫描,显示 -1.AD0809 converters to achieve the two vhdl. Using the state machine to achieve the different states of dynamic switching thinking, c
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:方周
  1. VHDL-status

    0下载:
  2. VHDL状态机学习笔记,对初学者有很重要的帮助意义-VHDL state machine learning notes for beginners has a very important significance help
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:6144
    • 提供者:陈度甫
  1. st_11

    0下载:
  2. cpld状态及设计。 很好的文章。 要设计vhdl状态机的话,最好看看。-cpld state and design. Good paper. Vhdl to design the state machine, the best look.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-19
    • 文件大小:113664
    • 提供者:wang
  1. VHDLexample49

    0下载:
  2. VHDL的49个例子,例子丰富,有计数器、状态机、寄存器、汉明纠错码编码器、游戏程序-VHDL 49 examples, examples of rich, counters, state machines, register, Hamming ECC encoder, Games, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:44032
    • 提供者:刘一
  1. VHDL

    0下载:
  2. 基才VHDL状态机设计的智能交通控制灯 设计 有需要的可以看一下-only VHDL-based state machine design and intelligent traffic control lights need to design can look at the
  3. 所属分类:软件工程

    • 发布日期:2024-05-19
    • 文件大小:139264
    • 提供者:杨树茂
  1. VHDL

    0下载:
  2. 基才VHDL状态机设计的智能交通控制灯 有需要的可以看一下-only VHDL-based state machine design and intelligent traffic control lights need to see what
  3. 所属分类:电子书籍

    • 发布日期:2024-05-19
    • 文件大小:261120
    • 提供者:杨树茂
  1. CAD

    0下载:
  2. 这是关于VHDL状态机的源代码,欢迎大家下载使用-This is a state machine on the VHDL source code are welcome to download the use of U.S.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:张三
  1. FSM_Moore

    0下载:
  2. 有关VHDL的Moore状态机程序,希望对大家有所帮助
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:114688
    • 提供者:李锐
  1. STATE5

    0下载:
  2. VHDL源代码程序,使用VHDL语言编写,米勒,莫尔型状态机-VHDL source code, the use of VHDL language, Miller, Moore type state machine
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:3072
    • 提供者:罗兰
  1. GPSdecoder

    0下载:
  2. 采用状态机完成GPS串口信息GPRMC数据的解析,输出并行的年、月、日、时、分、秒信息,可直接移植。-State machine used to complete GPS information GPRMC serial data analysis, the output parallel year, month, day, hour, minute, second information, can be directly transp
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:杨启勇
  1. ditie

    0下载:
  2. 以一个完整的状态机来实现自动售票机的所有功能,这样设计较为方便 ,不用分片制作。 但缺点是实际功能会受到一些影响(器件选择上的问题)。 -To a complete state machine to realize automatic ticket vending machines all the features, so the design is more convenient, do not slice product
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:16384
    • 提供者:zsb
  1. peak

    0下载:
  2. 功能是检测一个5位二进制序列“10010”。考虑到序列重叠的可能,有限状态机共提供8个状态(包括初始状态IDLE)。-Function is to detect a 5 binary sequence
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:peak
  1. B_to_D

    0下载:
  2. 用VHDL语言将二进制数据转换成十进制数据,并将十进制的每一个位分离出来单独存放。使用状态机实现,程序简单,仿真效果很理想,占用可编程器件的资源较少。-VHDL language with the binary data into decimal data and decimal places separated from each store individually. Realize the use of state machine
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:yato_logo
  1. VHDL

    0下载:
  2. 基于VHDL状态机设计的智能交通控制灯VHDL程序-VHDL-based state machine design of intelligent traffic control lights VHDL procedures
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:285696
    • 提供者:徐翔
  1. delay

    0下载:
  2. 用vhdl的状态机实现精确的1us的延时程序-VHDL state machine used to achieve precise 1us delay procedures
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:yim
  1. 简单状态机控制步进电机_QII视频讲解

    0下载:
  2. 简单状态机控制步进电机_QII视频讲解 详细介绍用VHDL控制步进电机(Simple state control stepper motor, _QII video, explain in detail, with VHDL stepper motor control)
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:3382272
    • 提供者:一战神一
« 12 3 4 5 6 7 8 9 10 ... 21 »

源码中国 www.ymcn.org