搜索资源列表

  1. watch_v1

    2下载:
  2. 数字跑表 该跑表具有启动、复位、暂停、暂停后继续计时等功能 能显示的秒计数时间精确到小数点后第二位,即能显示**.**s 按钮设置防抖
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:536397
    • 提供者:何川
  1. watch_v1

    0下载:
  2. 数字跑表 该跑表具有启动、复位、暂停、暂停后继续计时等功能 能显示的秒计数时间精确到小数点后第二位,即能显示**.**s 按钮设置防抖-Digital stopwatch in the stopwatch with start, reset, suspend, suspended after the time and other functions can show the seconds counting time ac
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-13
    • 文件大小:536576
    • 提供者:何川

源码中国 www.ymcn.org