搜索资源列表

  1. watch2

    0下载:
  2. vhdl实现watchdog,在逻辑中可以加入本模块,实现看门狗。-VHDL achieve watchdog, the logic of the modules can be added to achieve watchdog.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-04
    • 文件大小:1024
    • 提供者:
  1. AT89S52

    0下载:
  2. Features • Compatible with MCS-51® Products • 8K Bytes of In-System Programmable (ISP) Flash Memory – Endurance: 1000 Write/Erase Cycles • 4.0V to 5.5V Operating Range • Fully Static Oper
  3. 所属分类:电子书籍

    • 发布日期:2024-05-04
    • 文件大小:212992
    • 提供者:haojun
  1. AT91RM9200_watchdog(2.4)

    0下载:
  2. linux2。4下的看门狗驱动程序源代码-linux2. 4 watchdog under the driver source code
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-04
    • 文件大小:4096
    • 提供者:王佐
  1. X5045_RW_c

    0下载:
  2. 本程序是看门狗x5045的驱动程序,用C写的,主要对是对看门狗的操作和对内部EPROM的读写-this procedure is the watchdog x5045 driver, written in C, mainly right yes right watchdogs and the operation of the internal EPROM literacy
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-04
    • 文件大小:1024
    • 提供者:长城
  1. pcwatchdog

    0下载:
  2. 在应用程序中添加硬件看门狗的应用实例。可以作为学习动态库应用的例子。-applications in addition to the hardware watchdog application examples. Learning can be as dynamic database application examples.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-04
    • 文件大小:87040
    • 提供者:beiken
  1. WINCEWatchDog

    0下载:
  2. EVC;相应S3C2410看门狗驱动程序;应用程序来测试看门狗驱动;在S3C2410(ARM9)平台上通过编译测试。-EVC; Corresponding S3C2410 watchdog driver; Application procedures for testing watchdog drive; In S3C2410 (ARM9) platforms through compiler test.
  3. 所属分类:Windows CE

    • 发布日期:2024-05-04
    • 文件大小:92160
    • 提供者:张敏捷
  1. jx44b0study_system_souce_code

    0下载:
  2. 压缩包里包含有JX44B0教学实验系统用户手册及该系统的全套试验例程,用c编写,在ADT环境下编译后下载到 实验板即可执行,是学习arm开发的有用例程 源文件说明 Leddemo LED显示实验 Led LED 显示实验 Serial 串口实验 Interrupt 外部中断实验 Dma DMA实验 Pwm PWM实验 Rtc 实时时钟实验 Watch
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-04
    • 文件大小:4011008
    • 提供者:kl.ud
  1. rx2_wdt

    0下载:
  2. This program shows how to configure the watchdog timer for the following chips: Atmel 80C51RA2 Atmel 80C51RD2 Atmel 80C54X2 Atmel 80C58X2 Atmel 83/87C51RB2 Atmel 83/87C51RC2 Atmel 83/87C51RD2 Atmel T87
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-04
    • 文件大小:8192
    • 提供者:mliang
  1. modulewdt

    0下载:
  2. 用verilog语言编写的看门狗模块modulewdt-verilog language with the watchdog module modulewdt
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-04
    • 文件大小:3072
    • 提供者:谢迪
  1. WATCHDOG-bbpc

    0下载:
  2. 蓝宇BBPC-SOM2496嵌入式主板 看门狗编程实例代码与函数-Lan Yu-Main Board watchdog SOM2496 embedded programming code examples and function
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-04
    • 文件大小:80896
    • 提供者:李晓风
  1. 5509_WDT

    0下载:
  2. TMS320VC5509A 看门狗测试程序-TMS320VC5509A watchdog testing procedures
  3. 所属分类:DSP编程

    • 发布日期:2024-05-04
    • 文件大小:18432
    • 提供者:pp
  1. 2410_WDT

    0下载:
  2. 优龙FS2410板的看门狗定时器源码,可以实现定时复位,开发平台为ADS1.2。-Liuzhou excellent FS2410 source board watchdog timer, reset timer can be achieved, development platform for ADS1.2.
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-04
    • 文件大小:145408
    • 提供者:刘贵华
  1. EV-LM3S811

    0下载:
  2. Stellaris Luminary Micro 系列之 EV-LM3S811 多个学习范例全都是基于 Keil 工程版的范例, 而范例内容列举如下: 1.BitBand 2.PWMgen3.GPIO_JTAG 4.Hello 5.Interrupts 6.QS_EV_LM3S811 7.Timers 8.UART_Out 9.WatchDog 等-Stellaris Luminary Micro Series EV-L
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-04
    • 文件大小:291840
    • 提供者:kly
  1. LM3S828

    0下载:
  2. tellaris Luminary Micro ARM 系列之 DK-LM3S828 多个学习范例全都是基于 Keil 工程版的范例, 并包含 LM3S Library Source Code ,而范例内容列举如下: 1.BitBand 2.I2C_Atmel 3.GPIO_JTAG 4.GPIO_LED 5.Hello 6.Interrupts 7.QS_DK_LM3S828 8.SSI_Atmel 9.Timers
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-04
    • 文件大小:462848
    • 提供者:kly
  1. LuminaryMicroLM3S811Evaluation

    0下载:
  2. Stellaris Luminary Micro ARM 系列之 LM3S811 Evaluation Board 多个学习范例全都是基于 Keil 工程版的范例, 并包含 LM3S Library Source Code ,而范例内容列举如下: 1.BitBand 2.PWMgen3.GPIO_JTAG 4.Hello 5.Interrupts 6.QS_EV_LM3S811 7.Timers 8.UART_Out 9.Watch
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-04
    • 文件大小:453632
    • 提供者:kly
  1. watchdog

    0下载:
  2. watchdog arm上所必备的功能,熟悉的人都知道-watchdog arm on the essential features, the familiar people know
  3. 所属分类:其他小程序

    • 发布日期:2024-05-04
    • 文件大小:81920
    • 提供者:
  1. SS13-SRC

    0下载:
  2. 监视程序调用的APi函数,附:SoftSnoop.lib,可开发插件-APi call watchdog function, attached: SoftSnoop.lib, plug-ins can be developed
  3. 所属分类:系统编程

    • 发布日期:2024-05-04
    • 文件大小:80896
    • 提供者:张京
  1. key-watchdog

    0下载:
  2. 此代码为键盘控制看门狗的51单片机C语言程序代码-This code for the keyboard to control the watchdog of the 51 single-chip C language program code
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-04
    • 文件大小:1024
    • 提供者:zhijie_wu
  1. 8051fx_wdog

    0下载:
  2. This example program shows how to configure PCA Module 4 as a watchdog timer. In this example, the watchdog is configured to overflow after 0xFF00 clock cycles.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-04
    • 文件大小:7168
    • 提供者:林海
  1. 2410-WatchDog

    0下载:
  2. this 2410 watch dog code for ads, it can finish the watch dog function.-this 2410 watch dog code for ads, it can finish the watch dog function.
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-04
    • 文件大小:133120
    • 提供者:
« 1 2 3 4 5 67 8 9 10 11 ... 50 »

源码中国 www.ymcn.org