搜索资源列表

  1. PCI_target

    0下载:
  2. VHDL编写的PCI代码,PCI2.2兼容,Xillinx Virtex与Spantan II 优化,33M主频,32位宽度,全目标功能等.-prepared by the PCI VHDL code, PCI2.2 compatible Xillinx Virtex II and Spantan optimized route speed, 32-bit width, the whole objective functions.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:845501
    • 提供者:citybus
  1. CALCULAT.ZIP

    0下载:
  2. verilog源码,可实现两位的加法器,在xillinx foundation 3.1下验证通过-verilog source, the two can achieve Adder, In xillinx foundation 3.1 certification through
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:623499
    • 提供者:沈佳麒
  1. KPCSMII

    0下载:
  2. Xillinx 的8位MCU软核的源代码,可在VertexII上运行,对CPU设计人员有很*意义-Xillinx the eight MCU soft-core source code can be run in VertexII. CPU designers to have great reference value
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:343483
    • 提供者:cloud
  1. xc2s200pin_out_test

    0下载:
  2. 用于xillinx芯片xc2s200-pq208 PCI引脚测试的,有时担心焊接是否良好,需要测试PCI引脚,也可以修改后测试其它XILLINX芯片,对于想学习CPLD,FPGA的朋友有很大的帮助,可以学习引脚的绑定等等。
  3. 所属分类:C#编程

    • 发布日期:2008-10-13
    • 文件大小:283481
    • 提供者:zhuxxingwang
  1. PCI_target

    0下载:
  2. VHDL编写的PCI代码,PCI2.2兼容,Xillinx Virtex与Spantan II 优化,33M主频,32位宽度,全目标功能等.-prepared by the PCI VHDL code, PCI2.2 compatible Xillinx Virtex II and Spantan optimized route speed, 32-bit width, the whole objective functions.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:844800
    • 提供者:citybus
  1. CALCULAT.ZIP

    0下载:
  2. verilog源码,可实现两位的加法器,在xillinx foundation 3.1下验证通过-verilog source, the two can achieve Adder, In xillinx foundation 3.1 certification through
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:623616
    • 提供者:沈佳麒
  1. KPCSMII

    0下载:
  2. Xillinx 的8位MCU软核的源代码,可在VertexII上运行,对CPU设计人员有很*意义-Xillinx the eight MCU soft-core source code can be run in VertexII. CPU designers to have great reference value
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:343040
    • 提供者:cloud
  1. xc2s200pin_out_test

    0下载:
  2. 用于xillinx芯片xc2s200-pq208 PCI引脚测试的,有时担心焊接是否良好,需要测试PCI引脚,也可以修改后测试其它XILLINX芯片,对于想学习CPLD,FPGA的朋友有很大的帮助,可以学习引脚的绑定等等。-Xillinx chip for xc2s200-pq208 PCI pin test, and sometimes worry that welding is good, need to test PCI pin
  3. 所属分类:C#编程

    • 发布日期:2024-06-09
    • 文件大小:283648
    • 提供者:nicai
  1. Ring_mem_VHDL

    0下载:
  2. 响铃和内存管理功能的VHDL语言,用于程控交换机中的Xillinx芯片与DSP和ADDA等芯片配合实现交换机的功能-Ringing and memory management features VHDL language, for program-controlled switchboards in Xillinx and ADDA chip and DSP chip, etc. with the function of switche
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:12288
    • 提供者:alanwater
  1. 23-10111

    0下载:
  2. a simple serial to parallel converter using XILLINX and VHDL (the number of the project represents the binary code used by the converter e.g 23- 10111)
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:346112
    • 提供者:theo
  1. Counter_VhdlCode

    0下载:
  2. it is a simple counter written in vhdl , can be simulated using model sim worked on xillinx for fpga.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:1024
    • 提供者:aya
  1. DDR2_hardcore_userguide

    0下载:
  2. xillinx Spartan6 FPGA DDR 接口设计指南-xillinx Spartan6 FPGA DDR Interface Design Guidelines
  3. 所属分类:软件工程

    • 发布日期:2024-06-09
    • 文件大小:2324480
    • 提供者:james
  1. ttraafficLighr

    0下载:
  2. <p>交通灯状态机的实现,用verilog HDL编程与开发,Xillinx ISE 6仿真,在实际电路中的到验证. 已通过测试。</p> -<p> The implementation of the traffic light state machine, using verilog HDL programming and development, Xillinx ISE 6 simulatio
  3. 所属分类:Windows编程

    • 发布日期:2024-06-09
    • 文件大小:1533952
    • 提供者:对手
  1. keypad_1

    0下载:
  2. keypad control system xillinx ise verilog
  3. 所属分类:搜索引擎

    • 发布日期:2024-06-09
    • 文件大小:482304
    • 提供者:bonki jung
  1. DES_Triple-DES-IP-Cores

    0下载:
  2. Triple DES 密码算法。 利用Xillinx公司的Virtex-II芯片测试了。正常动作。-Triple DES core implementation in verilog. It takes three standard 56 bit keys and 64 bits of data as input and generates a 64 bit encrypted/decrypted result.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:70656
    • 提供者:金铁男
  1. SyncounterFinal

    0下载:
  2. 在Xillinx ISE 平台上利用VHDL语言实现同步计数器,利用状态机实现,导入FPGA版点亮7段数码管并实现加、减计数功能。-The programme realizes a counter based on synchronous state machines, and it can be download to a FPGA chip.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-09
    • 文件大小:1499136
    • 提供者:木白
  1. dec38

    0下载:
  2. 在XIllinx ISE平台上利用VHDL基于结构化语句实现了38译码器。-The programme realizes an 3-8 decoder based on component descr iption through VHDL on Xillinx ISE.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-09
    • 文件大小:1099776
    • 提供者:木白
  1. wrpc-v2.0_src.tar

    1下载:
  2. About 1588 PTP protocol xillinx FPGA running code and Software application, and to introduce documents, want to help everyone
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:6715392
    • 提供者:JinRuJu
  1. PCIe Solutions on Xilinx FPGAs 初学者指南

    0下载:
  2. PCIE在国内公布的xilinx入门文档,有效帮助初学者入门。(PCIE's Xilinx introductory document, which is published in China, helps beginners get started effectively.)
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-09
    • 文件大小:530432
    • 提供者:飞飞
  1. AXI4学习

    0下载:
  2. 关于XILLINX的AXI4总线协议的学习(Learning about XILLINX AXI4 Bus Protocol)
  3. 所属分类:其他小程序

    • 发布日期:2024-06-09
    • 文件大小:1969152
    • 提供者:gp2067

源码中国 www.ymcn.org