搜索资源列表

  1. xq_Test7

    0下载:
  2. VHDL语言编写一个BCD计数器并在七段显示数码管上显示的程序,实现了动态扫描,而且很好用-VHDL language a BCD counter and in the seven-segment display digital tube display process to achieve a dynamic scanning, and it just works
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-17
    • 文件大小:144384
    • 提供者:夏强

源码中国 www.ymcn.org