搜索资源列表

  1. 3

    0下载:
  2. 一、设计内容 编写一实现电子琴的程序,并实现若干扩展功能。 基本功能:用8257键盘输入对应的七个音阶,通过实验箱的喇叭发出声音,并通过七段数码管显示输入音阶; 扩展功能:1、录音:记录所弹奏曲目 2、放录音:任意时刻重放最新记录曲目 3、在程序中可预设一曲目,按一键实现播放该曲目。 4、在播放录制曲目或预设曲目时,按8279键盘上任意键可以暂停播放,再按一次从暂停处继续播放。 5、程序运行时
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:75281
    • 提供者:马小红
  1. anwser

    0下载:
  2. 竞赛抢答器:当某组抢答按钮按下。在七段数码管上将其组号(0~7)显示出来,并使微机扬声器响一下。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:25356
    • 提供者:wendy
  1. vhdl

    0下载:
  2. VHDL是Very High Speed Integrated Circuit Hardware Descr iption Language的缩写, 意思是超高速集成电路硬件描述语言。对于复杂的数字系统的设计,它有独特的作用。它的硬件描述能力强,能轻易的描述出硬件的结构和功能。这种语言的应用至少意味着两种重大的改变:电路的设计竟然可以通过文字描述的方式完成;电子电路可以当作文件一样来存储。随着现代技术的发展,这种语言的效益与作用日
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:473740
    • 提供者:造型
  1. digitalclock

    0下载:
  2. 关于我的数字钟的设计,设计一个24小时的数字闹钟,该数字闹钟的面板如图9.1所示,它包括以下几个组成部分:(1)显示屏,由7个七段数码管组成,其中6个用于显示当前时间(时:分:秒)或设置的闹钟时间,而另一个则用于显示系统内部产生的周期性循环变化的待选预置数字; (2)YES(确认)键:用于输入新的时间或新的闹钟时间时,对每位待选预置数字输入的确认;(3)TIME(时间)键:用于确定新的时间设置;(4)ALARM(闹钟)键:用于确定新的闹
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:636318
    • 提供者:王涛
  1. 8255

    0下载:
  2. 8255扫描键盘、显示程序 利用8255可编程并行口做一个扫描键盘实验, 把按键输入的键码,显示在由8279控制的七段数码管上。 8255PA口做键盘输入线,PB口作扫描线。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1337
    • 提供者:zhuzhijing
  1. secondclock

    0下载:
  2. 电子秒表要实现的功能:用键盘中断来控制整个程序,按一下回车键启动电子秒表,再按一下暂停,按一下ESC键清零,用七段数码管显示时间。整个程序涉及到8255、8253与8259三个芯片。8253的OUT2,CLK2分别连接8259的IRQ7与PCLK,8253的GATE2连接正5伏电压,采用计数器2每隔0.01秒产生一次中断并且计数,写入以偏移地址4000H开始的4个内存单元,然后利用8255将内存单元的数据输出到七段数码管
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:18326
    • 提供者:fff
  1. qiduan

    0下载:
  2. 七段数码管显示程序,用VHDL语言编译的
  3. 所属分类:Linux/Unix编程

    • 发布日期:2008-10-13
    • 文件大小:433606
    • 提供者:史乐
  1. shuzixitongshiyan

    0下载:
  2. 这个给QuartusII初学者用的,里面很清楚的通过几个例子来告诉怎么运用QuartusII. 实验1:Quartus入门 实验2:简单的组合逻辑电路设计 实验3:七段数码管显示 实验4:BCD码显示及运 实验5:触发器和计数器 实验6:存储器的设计 实验7:基于DE2 的SOPC系统开发附录:
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:755392
    • 提供者:yulieyar
  1. tempcont

    0下载:
  2. AT89S52 单片机做CPU处理器处理控制,使用 DS18B20 集成温度传感器采集温度数据,七段数码管做显示,可以显示当前的温度值,并且可以设定一个上限温度值并保存在 DS18B20 中,可以调节所要限定的温度值。还设计了一路继电器控制,超出设定温度时继电器被驱动吸合,外电路中的降温风扇开始工作并发出警报,温度低于设定温度后,继电器自动断开风扇停止工作,警报解除。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:3981
    • 提供者:武辉
  1. interrupt_a

    0下载:
  2. 把4*4键盘的输入码型在四位的七段数码管上显示出来
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:2126
    • 提供者:余伟鹏
  1. 080505_vga

    0下载:
  2. 流水灯,用七段数码管代替LED灯,流水间隔1秒,数码管显示“门”
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:250383
    • 提供者:王传辉
  1. AD

    0下载:
  2. A/D转换,然后用七段数码管显示,是一种很常用的数据采样方法,希望可以帮助一些学习者
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1404
    • 提供者:mking
  1. LEDSET

    0下载:
  2. 七段数码管取码值的实用小程序,开发的时候会方便些.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:17333
    • 提供者:joewalker
  1. infrared_receive

    0下载:
  2. 接收解码用VHDL语言编写程序,在EDA实验板上实现解码,要求具有以下功能: (a)将一体化红外接收解调器的输出信号解码(12个单击键、6个连续键,单击键编号为7-18,连续键编码为1-6),在EDA实验板上用七段数码管显示出来; (b)当按下遥控器1—6号连续键时,在EDA实验板上用发光二极管点亮作为连续键按下的指示,要求遥控器上连续键接下时指示灯点亮,直到松开按键时才熄灭,用于区别单击键。 (c)EDA实验板上设置四个按
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:145948
    • 提供者:钟允
  1. EEPROMpresentation

    0下载:
  2. 利用拨码开关为可编程器件输入读写命令和相应的地址、数据,8051读入可编程器件设定的命令字并根据可编程器件的设置进行读写操作,读出来的数据通过P0输出给可编程器件,并由可编程器件控制七段数码管显示。(Verilog+单片机)
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:3233
    • 提供者:辛颖
  1. cx

    0下载:
  2. 8255扫描键盘、显示程序 A-a^n18 利用8255可编程并行口做一个扫描键盘实验,把按键输入的键码,显示在由8279控制的七段数码管上。 8255PA口做键盘输入线,PB口作扫描线。
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:2404
    • 提供者:stevanye
  1. weiji

    0下载:
  2. 用8088CPU构建一个微机应用系统,8255A、8×2键盘、6位七段数码管(共阴); 6位LED数码管可显示时、分、秒,并按正常时间显示方法工作;0~9为数字键,A~F为功能键。刚做的课设,有报告,有源程序,有硬件结构图
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:207098
    • 提供者:shenxuefen
  1. qudong

    0下载:
  2. 一个介绍七段数码管的驱动程序。初学者可以当作学习之用。
  3. 所属分类:驱动编程

    • 发布日期:2008-10-13
    • 文件大小:1254
    • 提供者:jackie
  1. AD

    0下载:
  2. 利用PA口的第二功能将PA0口(即ADC的0通道)输入的模拟量转变为数字量,通道的参考源选 择AVCC,并且数据采用右对齐方式,转换方式为每1秒启动一次转换,转换结果由实验台上 的七段数码管显示
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1375
    • 提供者:军军
  1. 7_SEG

    0下载:
  2. 单片机控制七段数码管,开发板上的,大家看看。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:9106
    • 提供者:王尔
« 1 2 3 45 6 7 8 9 10 ... 30 »

源码中国 www.ymcn.org