搜索资源列表

  1. timer0

    0下载:
  2. NIOS系统中的定时器编程实现,使用C语言实现NIOS系统中定时器的编程,结合实验板上的led,七段数码管,实现计时器的功能-NIOS system timer programming, using C language NIOS system timer programming, combined with the experimental panel led, Seven-Segment LED to achieve the fun
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-20
    • 文件大小:3072
    • 提供者:林华
  1. light

    0下载:
  2. 根据相关的单片机材料,利用所学的单片机知识,结合DVCC系列单片机微机仿真实验系统中的软件和硬件(集成电路芯片8032,七段数码管,开关电路及时钟信号电路,按键等),编写能够实现该项目的软件程序,最后将软、硬件有机的结合起来,进行有效的调试,达到完成该实验课程设计的目的要求
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-20
    • 文件大小:128000
    • 提供者:王军
  1. tempreature

    0下载:
  2. HT单片机控制DS18B20测温度,并且显示在2个七段数码管上-HT measured SCM DS18B20 temperature, and appear in paragraph 2 on the digital control
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:陈杰
  1. zhiliudianji

    0下载:
  2. 本程序在单片机C8051F020上将直流电动机当前的转速值在七段数码管上显示出来,在电机的可控范围内控制电机转速等于预设值。运行速度设置为2000转/分,电机启动经过若干秒后,将以设定的速度运行。为了达到调速的效果,本程序使用阵列键盘更改运行速度。-This procedure will be in the single-chip C8051F020 DC motor speed value of the current Seven-S
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-20
    • 文件大小:44032
    • 提供者:liugang
  1. disp

    0下载:
  2. 七段数码管显示程序 可以使七段数码管正常显示-Seven-Segment LED display program Seven-Segment LED can display
  3. 所属分类:其他小程序

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:李世峰
  1. seg7

    0下载:
  2. 51单片计算机中的七段数码管程序,在keil工程中编译-programe aboat seg7
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-20
    • 文件大小:17408
    • 提供者:周南
  1. 7-seg

    0下载:
  2. avr单片机的基本演练 用于七段数码管显示显示内容可以调节 本例程中设置为0123-avr microcontroller for basic drill team respectively show the contents of the digital display can be adjusted to set the routine for the 0123
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-20
    • 文件大小:7168
    • 提供者:于彬
  1. decl7s

    0下载:
  2. 共阴极七段数码管的译码程序,用VHDL程序编写-Seven-Segment LED common cathode of the decoding process, and VHDL programming
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:39936
    • 提供者:田怡
  1. 6led

    0下载:
  2. 七段数码管显示,在上面显示1234567-LED display
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:陈莉
  1. keyboard

    0下载:
  2. 4*4键盘控制一个七段数码管显示,用三种方法实现-4* 4 Keyboard control of a Seven-Segment LED display, using three methods to achieve
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-20
    • 文件大小:95232
    • 提供者:布丁
  1. Quartus

    0下载:
  2. 1.七段数码管译码器 2.4人表决器 3.4进制加减法计数器~具有进位和借位功能-1. Seven-Segment LED Decoder 2.4 M 3.4 people voting machine counters ~ with addition and subtraction and by-bit binary function
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:胡志伟
  1. shuoming

    0下载:
  2. VHDL 开发一个七段数码管显示时钟,非常不错,欢迎分享下载.-VHDL IS VERY EASY.WELCOME LOAD
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:95232
    • 提供者:lijian
  1. led

    0下载:
  2. 可以显示六个BCD码的动态扫描七段数码管显示电路。有缓存,闪烁等模块组成。-BCD code to show the dynamics of the six and seventh scan digital tube display circuit. There cache, blinking and other modules.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-20
    • 文件大小:3072
    • 提供者:赵朴
  1. Digitaltube

    0下载:
  2. 数码管显示输出,七段数码管,并行数据输出,键盘输入信号-Digital display output, Seven-Segment LED, parallel data output, keyboard input signal
  3. 所属分类:其他小程序

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:sam
  1. Seven-SegmentLED

    0下载:
  2. 七段数码管 单片机实验中的七段数码管的实验 C 语言程序和汇编程序-Seven-Segment LED experiment in single-chip digital control paragraph C of the experimental procedures and assembler language
  3. 所属分类:汇编语言

    • 发布日期:2024-05-20
    • 文件大小:3072
    • 提供者:大大
  1. view

    0下载:
  2. 计时显示电路,6片七段数码管显示,内部计数器,通过Mealy型状态机实现-Time display circuit, paragraph 6 digital display, internal counter, through the Mealy type state machine to achieve
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:Elf
  1. jtd1

    0下载:
  2. 交通灯实现的源程序,可实现简单的交通灯控制,用七段数码管显示时间-The realization of the source of traffic lights, can be a simple traffic light control, with Seven-Segment LED Display Time
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:老大
  1. Seven-Segment-LED

    0下载:
  2. 七段数码管按键识别,按下1键显示1,按下2键显示2-Seven-Segment LED identification keys, press the 1 key to show the 1, press 2 to display 2
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:236544
    • 提供者:蔡宇佳
  1. xianshiqi

    0下载:
  2. 显示扫描程序,用于与C51单片机相连的七段数码管式显示。-Display scanning process for the C51 single-chip connected with the Seven-Segment LED display.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:zhangbipeng
  1. LED

    0下载:
  2. LED七段数码管数字钟: 1.设计并完成LED七段数码管数字钟电路。 2.数字钟显示格式为:HH:MM:SS。 3.具有通过键盘能够调整时、分、秒的功能。 -Seven-Segment LED digital tube digital clock: 1. Design and completion of Seven-Segment LED digital tube digital clock circuit. 2. D
  3. 所属分类:汇编语言

    • 发布日期:2024-05-20
    • 文件大小:347136
    • 提供者:wei
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 30 »

源码中国 www.ymcn.org