搜索资源列表

  1. VHDL范例

    0下载:
  2. 最高优先级编码器 8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使用select语句) LED七段译码 多路选择器(使用if-else语句) 双2-4译码器:74139 多路选择器(使用when-else语句) 二进制到BCD码转换 多路选择器 (使用case语句) 二进制到格雷码转换 双向总线(
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:42884
    • 提供者:kerty
  1. sdgshjd

    0下载:
  2. 数字系统设计这是有关的相关源代码,有简易CPU 除法器、计数器等 ...[fpdiv_vhdl.rar] - 四位除法器的vhdl源程序 [vhdl范例.rar] - 最高优先级编码器8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使 BR> ... -Digital System Design This is the unde
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:838
    • 提供者:张瑞
  1. voterandcounter

    0下载:
  2. 用VHDL写的源代码程序,包涵三人表决器,七人表决器,全加器以及模24,模60的计数器,都是单文件的,由于程序小又多,所以集中在一起,供新学习VHDL语言的朋友们参考。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:2174
    • 提供者:韩笑
  1. 三人表决器(三种不同的描述方式)

    0下载:
  2. 用VHDL语言编写的三人表决器,多数服从少数,或者一致通过。-VHDL prepared by the three voting machines, most of the views of the minority, or adopted unanimously.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1199
    • 提供者:刘超
  1. 三人表决器(三种不同的描述方式)

    0下载:
  2. 用VHDL语言编写的三人表决器,多数服从少数,或者一致通过。-VHDL prepared by the three voting machines, most of the views of the minority, or adopted unanimously.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:刘超
  1. VHDL范例

    0下载:
  2. 最高优先级编码器 8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使用select语句) LED七段译码 多路选择器(使用if-else语句) 双2-4译码器:74139 多路选择器(使用when-else语句) 二进制到BCD码转换 多路选择器 (使用case语句) 二进制到格雷码转换 双向总线(
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-20
    • 文件大小:43008
    • 提供者:kerty
  1. sdgshjd

    0下载:
  2. 数字系统设计这是有关的相关源代码,有简易CPU 除法器、计数器等 ...[fpdiv_vhdl.rar] - 四位除法器的vhdl源程序 [vhdl范例.rar] - 最高优先级编码器8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使 BR> ... -Digital System Design This is the unde
  3. 所属分类:并行运算

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:张瑞
  1. voterandcounter

    0下载:
  2. 用VHDL写的源代码程序,包涵三人表决器,七人表决器,全加器以及模24,模60的计数器,都是单文件的,由于程序小又多,所以集中在一起,供新学习VHDL语言的朋友们参考。-With VHDL source code written procedures, includes three of the voting machine, vote on seven people, and full adder, as well as modulu
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:2048
    • 提供者:韩笑
  1. 22

    0下载:
  2. VHDL语言实现三人表决器控制电路,有优先级自主设定等功能-VHDL language to achieve three of the voting machine control circuit, a priority setting features such as autonomous
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:夏巍
  1. srbjq

    0下载:
  2. vhdl实现的三人表决器,大家一起交流一下,-VHDL realization of three voting machines and we can work together to exchange about
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:孟旭
  1. voter

    0下载:
  2. 用VHDL语言设计三人表决器 新建VHDL设计文件并保存 检查编译 波形仿真 -Design using VHDL language VHDL three new voting system for the design document and save it to check the compiler waveform simulation
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:33792
    • 提供者:米石
  1. biaojueqi

    0下载:
  2. 通过VHDL实现一个三人表决器,两个或者两个以上人投票,择通过,否则,无法通过-VHDL implementation through a three-person voting machines, two or more than two votes, whichever is adopted, otherwise, can not
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:185344
    • 提供者:李智
  1. VHDL

    0下载:
  2. 一些VHDL的简单实例,包括各种计数器,三人表决器等-Some simple examples of VHDL, including the various counters, three voting machines, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:43008
    • 提供者:dxeicho
  1. vhdlcoder

    0下载:
  2. 本文件夹包含了16个VHDL 编程实例,仅供读者编程时学习参考。 一、四位可预置75MHz -BCD码(加/减)计数显示器(ADD-SUB)。 二、指示灯循环显示器(LED-CIRCLE) 三、七人表决器vote7 四、格雷码变换器graytobin 五、1位BCD码加法器bcdadder 六、四位全加器adder4 七、英语字母显示电路 alpher 八、74LS160计数器74ls160
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:59392
    • 提供者:李磊
  1. The.design.of.the.voting.machine

    0下载:
  2. 表决器的设计 设计一个三人的表决器,其中有二人以上同意则投票通过。演示结合实验箱上A区、J区的LED及按键。工作过程如下:带锁的按键按下时,按键上的灯亮表示投票同意;按键松开时,灯熄灭表示投票反对;SW1-SW3这三个按键是3人的投票键,L1灯亮表示投票通过,且蜂鸣器响;L1灯熄灭表示投票未通过,且蜂鸣器不响。利用原理图和VHDL编程相结合的方法来实现-The design of the voting machine
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:35840
    • 提供者:duopk
  1. vhdl

    0下载:
  2. 三人表决器(三种不同的描述方式)以及通用寄存器-Three voting machine (a descr iption of three different ways), and general-purpose registers
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:2048
    • 提供者:Jason
  1. CPLD-Three-voting

    0下载:
  2. CPLD/FPGA 设计实例手册 用VHDL语言设计三人表决器 用原理图输入的方式设计三人表决器 用verilog-HDL语言设计三人表决器-CPLD/FPGA design example manual Three of the voting machine VHDL language Schematic design of a three-member voting Verilog-HDL lan
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:2754560
    • 提供者:叶子
  1. Three-input-Majority-Voter

    0下载:
  2. 三人表决器(三种不同的描述方式),VHDL代码-Three-input Majority Voter
  3. 所属分类:书籍源码

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:MOHAMAD

源码中国 www.ymcn.org