搜索资源列表

  1. pingpang

    0下载:
  2. 实现乒乓缓存,用verilog语言编写!
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:166585
    • 提供者:zhl
  1. VHDL

    0下载:
  2. 高质量的VHDL代码乒乓处理FIFO缓存
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:915
    • 提供者:wode
  1. 乒乓缓存vhdl代码

    0下载:
  2. 兵乓缓存vhdl代码,用于高速缓存使用
  3. 所属分类:VHDL编程

    • 发布日期:2011-05-14
    • 文件大小:162638
    • 提供者:yangyu141827
  1. pingpang

    0下载:
  2. 实现乒乓缓存,用verilog语言编写!-Realize cache ping-pong, using Verilog language!
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:165888
    • 提供者:zhl
  1. VHDL

    0下载:
  2. 高质量的VHDL代码乒乓处理FIFO缓存-High-quality VHDL code deal with ping-pong FIFO cache
  3. 所属分类:书籍源码

    • 发布日期:2024-05-16
    • 文件大小:1024
    • 提供者:wode
  1. pingpang

    0下载:
  2. 关于乒乓操作的,对于数据缓存有很大的用处-On the ping-pong operation of data cache for the great usefulness of
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:166912
    • 提供者:敬亮
  1. ping_pong_buffer

    0下载:
  2. 用寄存器来实现乒乓缓存(Verilog HDL)-Ping-pong with the register to achieve cache (Verilog HDL)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:36864
    • 提供者:小强
  1. Net-capture-code

    0下载:
  2. 用于捕获网口数据包的源代码,采用乒乓缓存方式和多线程的方式实现,高效可行-it is use to capture net data packet!
  3. 所属分类:Internet/网络编程

    • 发布日期:2024-05-16
    • 文件大小:9216
    • 提供者:Hunter Chen
  1. pingpong_operation_FIFO

    0下载:
  2. 通过fifo实现乒乓操作的功能,具有数据缓存的作用,特别适用于高低速的数据传输-Ping-pong operation realized by fifo function has the effect of data cache, especially suitable for high speed data transmission
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:1906688
    • 提供者:钟朗朗
  1. webPlayer

    0下载:
  2. 第一个版本的原型机会采用Cortex M3级别的芯片,使用SD卡作为音乐的缓冲区,通过WiFi模块配合 lwIP网络协议栈连接豆瓣服务器,将数据首先下载到SD卡中缓存起来;系统采用一块Vx10xx MP3解码 芯片实现音乐的解码和播放。播放模块始终从SD卡中读取缓存的音乐,从而避免由于网络不稳定带来的 糟糕的播放体验。为了保护该开源项目,SD卡将不使用任何标准的文件系统,我们会将其视作一个巨大 的FLASH缓冲区使用,
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-16
    • 文件大小:1058816
    • 提供者:大鹏集成
  1. NandBuffer

    0下载:
  2. verilog编写,含三路正弦信号发生器,三路数据乒乓缓存模块。乒乓缓存读写控制采用三段式状态机实现。-The project contains a 3-channel sine generator and a 3-channel ping-pong buffer which is written in verilog. The write and read control of buffer is implemented in 3-s
  3. 所属分类:其他小程序

    • 发布日期:2024-05-16
    • 文件大小:8192
    • 提供者:shanhuancui
  1. PingPang_buffer_20160526

    0下载:
  2. 源码仿真 乒乓 缓存,实现数据流的传输,含有仿真测试文件,vivado工程。-Source simulation ping-pong cache data stream transmission, the file containing the simulation test, vivado project.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:2422784
    • 提供者:贾俊超
  1. intr_priority_control

    0下载:
  2. 多种数据缓存ddr3,乒乓缓存优先级判断,优先将缓存紧急的数据类型读出ddr3.(A variety of data cache DDR3, table tennis cache priority judgment, priority will cache urgent data type read ddr3.)
  3. 所属分类:其他小程序

    • 发布日期:2024-05-16
    • 文件大小:2048
    • 提供者:huwei6697
  1. uart_test

    0下载:
  2. 收发端都采用2M波特率发送串口数据,通过PIN口直接输入输出串口数据,目的是为了跟外围高速器件完成高速的串口数据的收发,普通USB转串口的都只能支持不到1M的波特率,内部采用乒乓FIFO进行时钟域切换以及缓存(The transmitter and receiver are used 2M baud rate serial data transmission, directly through the PIN port serial i
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:16316416
    • 提供者:marktuwen
  1. PPRAM-test

    0下载:
  2. 乒乓缓存,用vhdl编写,用fpga内部ram(Ping-pong buffer, using vhdl to write,)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:8834048
    • 提供者:任天鹏

源码中国 www.ymcn.org