搜索资源列表

  1. 十字路*通灯控制器设计

    1下载:
  2. 使用VHDL实现十字路*通灯控制器设计,适用于初学者-use VHDL crossroads traffic signal controller design, applicable to beginners
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:29495
    • 提供者:沈燮勇
  1. 交通灯控制器设计

    0下载:
  2. (一) 设计交通灯控制的软硬件,实现交通灯的控制。 (二) 交通灯的红、绿、黄灯亮灯时间可以通过键盘设定。 (三) 交通灯控制要有直道、弯道及非机动车的通行、停止控制。 (四) 在某一方向通车或停车时,要有动态的指示,消除司机的烦躁情绪。 (五) 红灯停、绿灯行、黄灯停止未过线的机动车和停止方向的预启动。 (六) 黄灯亮的时间不可改变,预定5秒钟。
  3. 所属分类:源码下载

  1. 交通灯VHDL

    0下载:
  2. 相关知识 本实验要设计实现一个十字路口的交通灯控制系统,与其他控制系统一样,本系统划分为控制器和受控电路两部分。控制器使整个系统按设定的工作方式交替指挥双方向车辆通行,并接收受控部分的反馈信号,决定其状态转换方向及输出信号,控制整个系统的工作过程。 路*通灯控制系统的有东西路和南北路交通灯 R(红)、Y(黄)、G(绿)三色,所有灯均为高电平点亮。设置20s 的通行时间和5s 转换时间的变模定时电路,用数码管显示剩余时间。提供系统正常工作
  3. 所属分类:文档资料

  1. 十字路*通灯控制器

    0下载:
  2. 十字路*通灯控制器,是课程的结课设计报告,自己写的verilog语言,在quartus ii环境下仿真,具有参考意义。
  3. 所属分类:Windows编程

  1. 交通灯控制器的设计(综合设计实验)

    0下载:
  2. 交通灯控制器的设计(综合设计实验)
  3. 所属分类:文档资料

    • 发布日期:2011-10-07
    • 文件大小:330752
    • 提供者:mt3925
  1. 交通灯控制器

    0下载:
  2. 很久以前自己写的VHDL实现的交通灯控制器~ 动态数码管控制。altera平台
  3. 所属分类:VHDL编程

    • 发布日期:2011-11-12
    • 文件大小:334706
    • 提供者:congyong1988
  1. sybg

    0下载:
  2. 交通灯控制器实验报告--- SOC课程设计 一.实验功能 该交通灯控制器,能完成以下功能: ⒈ 显示交通灯的红、黄、绿的指示状态 用L1、L2、L3作为绿、黄、红灯; ⒉ 能实现正常的倒计时功能: 用M2、M1作为南北方向的倒计时显示器,显示时间为红灯55秒,绿灯30秒,黄灯15秒。 ⒊ 能实现特殊状态的功能 (1) 按S1后,能实现特殊状态功能; (2) 显示器M2M1闪烁; (3) 计数器停止计数并保持在原来的状态; (4) 显示红
  3. 所属分类:行业应用软件

    • 发布日期:2024-05-15
    • 文件大小:43008
    • 提供者:晨曦
  1. 十字路*通灯控制器设计

    0下载:
  2. 使用VHDL实现十字路*通灯控制器设计,适用于初学者-use VHDL crossroads traffic signal controller design, applicable to beginners
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:29696
    • 提供者:沈燮勇
  1. 十字路*通灯时实控制与管理

    0下载:
  2. 十字路*通灯时实控制1、要完成本实验,首先必须了解交通路灯的亮灭规律。设有一个十字路口,1、3为南,北方向, 2、4为东,西方向,初始态为4个路口的红灯全亮。 之后, 1、3路口的绿灯亮, 2、4路口的红灯亮, 1、3路口方向通车。 延迟一段时间后, 1、3路口的绿灯熄灭,而1、3路口的黄灯开始闪烁。闪烁若干次后, 1、3路口的红灯亮, 同时2、4路口的绿灯亮, 2、4路口方向开始通车。 延迟一段时间后, 2、4路口的绿灯熄灭,而黄灯
  3. 所属分类:汇编语言

    • 发布日期:2024-05-15
    • 文件大小:80896
    • 提供者:郭菲菲
  1. digitalsystemDesign

    0下载:
  2. 第7章数字系统设计实例 7.1 半整数分频器的设计 7.2 音乐发生器 7.3 2FSK/2PSK信号产生器 7.4 实用多功能电子表 7.5 交通灯控制器 7.6 数字频率计-Chapter 7 Digital System Design Example 7.1-integer dividers designed Music Generator 7.2 7.3 2F SK/2PSK Signal Generat
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:446464
    • 提供者:李唐
  1. nclight

    0下载:
  2. 利用硬件描述语言VHDL设计交通灯电路,设计一个十字路*通灯控制器,东西、南北方向有红灯、黄灯、绿灯,持续时间分别为45、5、40秒。-use VHDL design of traffic lights at the circuit, the design of traffic lights at a crossroads controller East and West, North-South direction of a red
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:空气
  1. C51CrossLight

    0下载:
  2. 1.设计一个交通灯控制器。 2.利用学习机上的发光二极管,设定东、南、西、北4个方向,各3个灯(红、黄、绿)。交通灯控制器正常工作时,南北方向红灯亮3秒,黄灯闪2秒,绿灯亮3秒,以此类推。东西方向绿灯亮3秒,黄灯闪2秒,红灯亮3秒,以此类推。 3.设定两个紧急按钮,一个控制南北灯,一个控制东西灯。当按下相应的紧急键时,其控制方向的交通灯亮绿灯,其他方向的交通灯亮红灯,至自控键松开,恢复正常交通控制。 -1. Design o
  3. 所属分类:汇编语言

    • 发布日期:2024-05-15
    • 文件大小:10240
    • 提供者:wangpeng
  1. traffic_control

    0下载:
  2. 设计制作一个用于十字路口的交通灯控制器 有一组绿、黄、红灯用于指挥交通,绿灯、黄灯和红灯的持续时间分别为20秒、5秒和25秒; 当有特殊情况(如消防车、救护车等)时,两个方向均为红灯亮,计时停止,当特殊情况结束后,控制器恢复原状态,继续正常运行-design a crossroads for the traffic signal controller is a group in green, yellow and red li
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:2048
    • 提供者:飘来的南风
  1. trafficlightVHDL

    0下载:
  2. 用VHDL写的交通灯控制器,基本功能齐全,和马路上十字路口的红绿灯模拟得很像的-write VHDL traffic signal controllers and complete basic functions, on the road and the traffic lights crossroads as the very Simulation
  3. 所属分类:交通/航空行业

    • 发布日期:2024-05-15
    • 文件大小:65536
    • 提供者:solarphoebus
  1. vhdlb

    0下载:
  2. 交通灯控制器 vhdl程序如下(~高速路和普通路 高速路绿灯亮60S普通路绿亮30S红绿交换时黄灯同亮时5S)-Traffic lights controller VHDL procedures are as follows (~ high-speed road and general road Highway 60S ordinary green Green Road 30S red and green light exchange
  3. 所属分类:软件工程

    • 发布日期:2024-05-15
    • 文件大小:4096
    • 提供者:造型
  1. jiaotongdeng

    0下载:
  2. 十字路*通灯控制器设计报告,写得非常好,很有参考价值-Crossroads traffic lights controller design report, written very good, useful reference
  3. 所属分类:软件工程

    • 发布日期:2024-05-15
    • 文件大小:145408
    • 提供者:郭海东
  1. eda-vhdl-traficlightctrl

    0下载:
  2. 用VHDL语言描述和实现的一个交通灯控制器,该交通灯系统为一个十字路*通管理信号灯,用于主干道与乡间公路的交叉路口,要求是优先保证主干道的畅通,因此平时处于“主干道绿灯,乡间道红灯”状态,只有在乡间公路有车辆要穿行主干道时才将交通灯切向“主干道红灯,乡间道绿灯”,一旦乡间公路无车辆通过路口,交通灯又回到“主绿,乡红”的状态。此外,主干道每次通行的时间不得短于1分钟,乡间公路每次通行时间不得长于20秒。而在两个状态交换过程中出现的“主黄
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:黄然
  1. jiaotong

    0下载:
  2. 交通灯控制器的VHDL设计,能控制十字路口的红绿灯转换,通过目标芯片EPF10KLC84-4验证-Traffic lights controller VHDL design, can be controlled by traffic lights at the crossroads of the conversion, through the target chips EPF10KLC84-4 verification
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:327680
    • 提供者:ellala
  1. traffic_control

    0下载:
  2. 软件开发环境:ISE 7.1i 仿真环境:ISE Simulator 1. 这个实例实现通过ISE Simulator工具实现一个具有两个方向共八个灯的交通灯控制器; 2. 工程在project文件夹中,双击traffic.ise文件打开工程; 3. 源文件在rtl文件夹中,traffic.v为设计文件,traffic_tb.tbw是仿真波形文件; 4. 打开工程后,在工程浏览器中选择traffic_tb.t
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:248832
    • 提供者:李华
  1. VHDL100

    0下载:
  2. 包含了VHDL语言的100个例子,如交通灯控制器,空调系统有限状态自动机,FIR滤波器,五阶椭圆滤波器,闹钟系统的控制-VHDL language contains 100 examples, such as traffic light controllers, air-conditioning systems finite state automata, FIR filter, the fifth-order elliptic fil
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:320512
    • 提供者:ttang
« 12 3 4 5 6 7 8 9 10 ... 13 »

源码中国 www.ymcn.org