搜索资源列表

  1. 51FIFO

    0下载:
  2. 51单片机的FIFO(先入先出)循环队列实现-51 SCM FIFO (first-in-first out) Revolving Queuing
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1365
    • 提供者:tangcongfai
  1. 基于振荡采样的真随机数发生器IP设计

    0下载:
  2. 为了得到真正硬件产生的随机数序列,采用振荡电路作为物理源,设计了混洗电路和扰频 电路来改善输出序列的均衡性和数据冗余,它具有真随机数发生器的不可预测性高、随机性好的 优点.
  3. 所属分类:报告论文

  1. vxworks 自定义数据队列的实现

    0下载:
  2. 实现了 自定义的 数据缓冲队列,具有良好的扩展性。 利用用户保留内存进行数据缓冲,避免内存碎片
  3. 所属分类:源码下载

  1. 51FIFO

    0下载:
  2. 51单片机的FIFO(先入先出)循环队列实现-51 SCM FIFO (first-in-first out) Revolving Queuing
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:tangcongfai
  1. wubanpeidui

    0下载:
  2. 舞会上男女各排成一队进入舞厅,跳舞时依次从男士队伍和女士队伍的队头各出一个人相互结成舞伴。如果两队的人数不等,则队伍较长中未配对的人员将等待下一轮的舞曲。 要求用程序完成该配对过程的模拟,从键盘输入所有人员的名单,输入格式为“姓名 性别”,并且男女无序,输出所有配对的男女舞伴,如果某队伍中还有人员未配对完,则另外输出所有尚未配对人员名单。 选作内容: 1. 实现下一轮次的继续配对,此时,所有尚未配对的人员应优先予以配对;
  3. 所属分类:Windows编程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:思缘
  1. DS2

    0下载:
  2. 使用STL queue adapter,实现队列先入先出。通过.run文件中的内容,实现相应的输出。-Using the STL queue adapter, to achieve queue FIFO. Through. Run the contents of the document to achieve a corresponding output.
  3. 所属分类:数据结构常用算法

    • 发布日期:2024-05-15
    • 文件大小:1445888
    • 提供者:lavender
  1. vcFileupload

    0下载:
  2. 这是CTransferSocket_hawk的类实现文件,以下成员变量用于数据发送:数据缓存区,为一个先入先出队列,注意:队列中的数据比原始数据多4+BEGIN_TAG_LENGTH个字节,其中前4个字节用来存放原始数据的长度,接下来存放BEGIN_TAG.远程端需要长度来确定将要接收的数据块尺寸,并利用BEGIN_TAG,来判断是否有错误发生。-This is CTransferSocket_hawk class implementa
  3. 所属分类:其他小程序

    • 发布日期:2024-05-15
    • 文件大小:38912
    • 提供者:zs
  1. softhy153I

    0下载:
  2. 这是CTransferSocket_hawk的类实现文件,以下成员变量用于数据发送:数据缓存区,为一个先入先出队列,注意:队列中的数据比原始数据多4+BEGIN_TAG_LENGTH个字节,其中前4个字节用来存放原始数据的长度,接下来存放BEGIN_TAG.远程端需要长度来确定将要接收的数据块尺寸,并利用BEGIN_TAG,来判断是否有错误发生。-This is CTransferSocket_hawk class implementa
  3. 所属分类:其他小程序

    • 发布日期:2024-05-15
    • 文件大小:990208
    • 提供者:zs
  1. chexiang

    0下载:
  2. 利用队列结构实现车厢重排问题。车厢重排问题如下: 一列货车共有n节车厢,每个车厢都有自己的编号,编号范围从1~n。给定任意次序的车厢,通过转轨站将车厢编号按顺序重新排成1~n。转轨站共有k个缓冲轨,缓冲轨位于入轨和出轨之间。开始时,车厢从入轨进入缓冲轨,经过缓冲轨的重排后,按1~n的顺序进入出轨。缓冲轨按照先进先出方式,编写一个算法,将任意次序的车厢进行重排,输出每个缓冲轨中的车厢编号。 -Using queue structu
  3. 所属分类:数据结构常用算法

    • 发布日期:2024-05-15
    • 文件大小:896000
    • 提供者:莫凡
  1. manager

    0下载:
  2. 考虑一个这样的机房管理系统:假设我们对机器服务进行收费,如果每个用户为单位时间愿意支付的费用不同,则可以用支付费用作为优先级,优先级越高的越先得到服务,而如果两个用户优先级相同,则先到的先服务。 使用以上定义的优先队列来实现该系统。 系统提供一个菜单让管理员操作,管理员可以做如下操作: 增加用户入队列,每个用户有相应的优先级(愿意付费的等级) 用户出队列(为用户分配机器),出队列的用户需为优先级最高或到达最早的用户
  3. 所属分类:企业管理(财务/ERP/EIP等)

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:范征弘
  1. printer

    0下载:
  2. 测试你对这项任务队列和你有能力使用STL队列适配器的理解。您被要求完成的实施方案模拟一个共享打印机。这种打印机使用先入先出队列。 仿真工程通过阅读和处理从数据文件中的事件列表。每一个有效的数据文件中的行包含有关打印作业和作业提交时,这个时间信息。具体来说,在每一行中包含的信息是时间(以秒为单位)提交作业,在作业的页面长度,以及从中作业提交计算机的名称。在仿真开始时,这些事件都应该是阅读的计划,并在继承的工作量队列中。 该方案应通
  3. 所属分类:Windows编程

    • 发布日期:2024-05-15
    • 文件大小:10240
    • 提供者:
  1. FIFO

    0下载:
  2. 先入先出队列(First Input First Output,FIFO)这是一种传统的按序执行方法,先进入的指令先完成并引退,跟着才执行第二条指令。-FIFO queue (First Input First Output, FIFO) which is a traditional sequential execution method, first enter the command to finish and retire, on
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:吴海勇
  1. fifo-verilog

    0下载:
  2. 用verilog 编写的fifo(先入先出队列)代码 内含测试文件 test bench-First Input First Output programme which designed by verilog codes,including test bench
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:贺铮
  1. 51MCU_FIFO

    0下载:
  2. 51单片机的FIFO(先入先出)循环队列实现(已在串口通信中实际应用)-51 single-chip FIFO (FIFO) circular queue (already in the practical application of the serial communication)
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-15
    • 文件大小:25600
    • 提供者:peack
  1. 51-FIFO

    0下载:
  2. 51单片机的FIFO(先入先出)循环队列实现-51 single-chip FIFO (first-in, first-out) circular queue to achieve
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:陈驰
  1. FIFO-algorithm

    0下载:
  2. 先入先出队列,这是一种传统的按序执行方法,先进入的指令先完成并引退,跟着才执行第二条指令。是一种先进先出的数据缓存器,他与普通存储器的区别是没有外部读写地址线,这样使用起来非常简单,但缺点就是只能顺序写入数据,顺序的读出数据,其数据地址由内部读写指针自动加1完成,不能像普通存储器那样可以由地址线决定读取或写入某个指定的地址-First-in, first-out queue, which is a traditional sequent
  3. 所属分类:软件工程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:路子祥
  1. FIFO

    0下载:
  2. First Input First Output的缩写,先入先出队列,这是一种传统的按序执行方法,先进入的指令先完成并引退,跟着才执行第二条指令。-The abbreviation of the first input first output, the first in first out queue, which is a traditional sequential execution method, first enter th
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:王衎秋
  1. Queue_BAK

    0下载:
  2. queue 源码 包括:队列的先入先出,加锁等等(the source code of queue)
  3. 所属分类:Windows编程

    • 发布日期:2024-05-15
    • 文件大小:3618816
    • 提供者:xhn1986
  1. 异步FIFO设计

    0下载:
  2. 经典的异步FIFO设计,First Input First Output的缩写,先入先出队列,这是一种传统的按序执行方法,先进入的指令先完成并引退,跟着才执行第二条指令。(Classic asynchronous FIFO design)
  3. 所属分类:其他小程序

  1. 同步FIFO设计

    0下载:
  2. First Input First Output的缩写,先入先出队列,这是一种传统的按序执行方法,先进入的指令先完成并引退,跟着才执行第二条指令。(Classic synchronous FIFO design)
  3. 所属分类:其他小程序

« 12 »

源码中国 www.ymcn.org