搜索资源列表

  1. chuzuche51

    0下载:
  2. 用51系列单片机设计的一个出租车计费器。-with 51 series microcontroller design of a taxi meter.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-09
    • 文件大小:162816
    • 提供者:sfdfsdf
  1. taxi_counter

    0下载:
  2. 用VHDL编写的一个出租车计费器,起步6元计2公里,此后每半公里计0.8元,停车等待每2.5分计0.8元。通过仿真,但未下载到CPLD测试-a taxi prepared by the accounting device, starting six yuan or 2 km, then every half kilometer or 0.8 yuan, stopping to wait for every 2.5 minutes or
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:242688
    • 提供者:尚方喆
  1. taxijifeiqi

    0下载:
  2. 《出租车计费器》绝对好用的EDA程序!已经通过测试!VHDL语言编写-"Taxi-Meter" absolutely good for EDA procedures! Have passed the test! VHDL language
  3. 所属分类:软件工程

    • 发布日期:2024-05-09
    • 文件大小:2048
    • 提供者:潘晓峰
  1. SystemOfTaxiFeeBasedOnVerilogHDL

    0下载:
  2. 摘 要:以上海地区的出租车计费器为例,利用Verilog HDL语言设计了出租车计费器,使其具有时间 显示、计费以及模拟出租车启动、停止、复位等功能,并设置了动态扫描电路显示车费和对应时间,显示 了硬件描述语言Verilog—HDL设计数字逻辑电路的优越性。源程序经MAX+PLUS Ⅱ软件调试、优 化,下载到EPF1OK10TC144—3芯片中,可应用于实际的出租车收费系统。 关键词:Verilog HDL;电子自动化设
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:211968
    • 提供者:杨轶帆
  1. chuzuche

    0下载:
  2. 基于CPLD/FPGA的出租车计费器-Based on CPLD/FPGA taxi meter
  3. 所属分类:交通/航空行业

    • 发布日期:2024-05-09
    • 文件大小:125952
    • 提供者:WSJ
  1. taxi-vhdl

    0下载:
  2. 出租车计费器 硬件描述语言 出租车计费器 MAX+PLUS软件 数字系统-Taxi billing hardware descr iption language taxi meter MAX+ PLUS software digital systems
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:48128
    • 提供者:aneeee
  1. eda

    0下载:
  2. 用VHDL编写的一个出租车计费器,起步6元计2公里,此后每半公里计0.8元,停车等待每2.5分计0.8元。通过仿真,但未下载到CPLD测试-Using VHDL prepared a taxi meter, starting 6 dollars two kilometers, and thereafter every half a kilometer of 0.8 yuan, parking to wait for every 2.5
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:164864
    • 提供者:左大
  1. mytaxi

    0下载:
  2. 本出租车计费器要实现的功能是出租车按行驶里程收费,起步费为7.0元,行驶3公里后再按2元/公里计费,车停时不计费。能预置起步费和每公里收费,并能模拟汽车启动、停止、车速等状态。-The taxi meter in order to achieve the function is by taxi mileage charges initial fees of 7.0 million, three kilometers later trav
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:1024
    • 提供者:leizi
  1. chezuche

    0下载:
  2. 比较完整功能的出租车计费器,可以分屏显示单价、路程、总价、时间等等,-Comparison of full-featured billing taxi, you can sub-screen display unit price, distance, price, time, etc.
  3. 所属分类:汇编语言

    • 发布日期:2024-05-09
    • 文件大小:3072
    • 提供者:陈诺
  1. chuzuchejifeiqi

    0下载:
  2. 出租车计费器 课程设计报告 详细介绍其工作原理及工作过程-Taxi meter course design report details of its working principle and working process
  3. 所属分类:文件格式

    • 发布日期:2024-05-09
    • 文件大小:120832
    • 提供者:will
  1. designtaxi_25

    0下载:
  2. 出租车计费器,VHDL实现,对学数字逻辑的同学有帮助的。-Taxi meter, VHDL realization of digital logic for school students have help.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:728064
    • 提供者:ZhengHuazhen
  1. vhdl

    0下载:
  2. 出租车计费系统的设计 2.1 出租车计费器工作原理 实际中出租车的计费工作原理一般分成3个阶段: (1)车起步开始计费。首先显示起步价(本次设计起步费为7.00元),车在行驶3 km以内,只收起步价7.00元。 (2)车行驶超过3 km后,按每公里2.2元计费(在7.00元基础上每行驶1 km车费加2.2元),车费依次累加。 (3)行驶路程达到或超过9 km后(车费达到20元)
  3. 所属分类:编译器/词法分析

    • 发布日期:2024-05-09
    • 文件大小:133120
    • 提供者:635001
  1. taxi

    0下载:
  2. 出租车计费器系统。起步价,3km后按1.2元/km计算,当计费器达到20元时,每千米加收50 的车费。车停止和暂停时不计费。-Taxi billing system. Pricing starts, 3km after 1.2/km calculated that when the meter reached 20 yuan per km additional 50 of the fare. Cars do not stop and
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:2048
    • 提供者:dong
  1. ttt

    0下载:
  2. 该系统利用VHDL语言、PLD设计出租车计费系统,以MAX+PLUSⅡ软件作为开发平台,设计了出租车计费器系统程序并进行了程序仿真。使其实现计费以及预置和模拟汽车启动、停止、暂停等功能,并动态扫描显示车费数目。-The system is the use of VHDL language, PLD design taxi billing system to MAX+ PLUS Ⅱ software as a development p
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:183296
    • 提供者:cch
  1. vhdl

    0下载:
  2. VHDL的论文,有关出租车计费器的设计,很好。-VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:3072
    • 提供者:黄凯
  1. vhdl

    0下载:
  2. :以上海地区的出租车计费器为例,利用Verilog HDL语言设计了出租车计费器,使其具有时间 显示、计费以及模拟出租车启动、停止、复位等功能,并设置了动态扫描电路显示车费和对应时间,显示 了硬件描述语言Verilog—HDL设计数字逻辑电路的优越性。源程序经MAX+PLUS Ⅱ软件调试、优 化,下载到EPF1OK10TC144—3芯片中,可应用于实际的出租车收费系统。-: A Shanghai taxi meter are
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:211968
    • 提供者:mindy
  1. chuzhuche2

    0下载:
  2. VHDL语言设计的出租车计费器,能模拟汽车启动、停止、暂停、车速等状态,能预置起步费、每公里收费、车行加费里程,能实现计费功能。功能强大,初学者适合看一看。-VHDL language design taxi billing, and can simulate the vehicle to start, stop, pause, speed, etc., and to preset the initial charges, fees a
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:1896448
    • 提供者:阿Q
  1. Taxi-meter

    0下载:
  2. 出租车计费器,在maxplus2环境中分5个模块设计出租车计费器-Taxi meter
  3. 所属分类:交通/航空行业

    • 发布日期:2024-05-09
    • 文件大小:22528
    • 提供者:yen
  1. taxi

    0下载:
  2. 用verilog写的基于cpld的出租车计费器的源码,需要的参考一下-Use verilog to write a taxi based cpld billing device source code, need to refer to
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:1282048
    • 提供者:许璐璐
  1. taxi

    0下载:
  2. 出租车计费器,用以实现出租车计费的小程序,用VHDL编程实现-Taxi meter, used to achieve a small taxi billing procedures, using VHDL programming
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:36864
    • 提供者:天空
« 12 3 4 5 6 »

源码中国 www.ymcn.org