搜索资源列表

  1. TB_VHDL(adder)

    0下载:
  2. 加法器的VHDL源码及其对于的仿真Testbench 文件的编写-VHDL Code about adder for the "Simple Test Bench" example VHDL Code about adder for the "Simple Test Bench" example
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-05
    • 文件大小:1024
    • 提供者:帅哥新
  1. 4weizhucijinweijiafaqi_verilog

    0下载:
  2. 四位逐次进位加法器的verilog实现。附tb.v文件。单片机开发,数字逻辑与处理器基础实验-Four successive carry adder verilog implementation. Tb.v attached file. SCM development, digital logic and processor basic experiment
  3. 所属分类:输入法编程

    • 发布日期:2024-05-05
    • 文件大小:1024
    • 提供者:JJ
  1. add.tb

    0下载:
  2. 加法器tb文件,用与对加法器进行仿真处理,通过modusim运行,适合新手参考。(add tb file and with the adder simulation processing, through the modusim run, suitable for novice reference.)
  3. 所属分类:语音压缩

    • 发布日期:2024-05-05
    • 文件大小:2048
    • 提供者:mabey

源码中国 www.ymcn.org