搜索资源列表

  1. 有时钟使能端的十进制计数器

    0下载:
  2. 有始终使能端的十进制计数器,经验证可以实现-have always enable side of the decimal counter, the experience can be achieved certification
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:823
    • 提供者:常小河
  1. 十进制计数器和数字钟

    0下载:
  2. 此程序是两个简单十进制计数器和数字钟,不完备之处请指教,谢谢!-this procedure is a simple two decimal counter and digital clock, from incomplete please enlighten, thank you!
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1869
    • 提供者:李震
  1. 有时钟使能端的十进制计数器

    0下载:
  2. 有始终使能端的十进制计数器,经验证可以实现-have always enable side of the decimal counter, the experience can be achieved certification
  3. 所属分类:Windows编程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:常小河
  1. 十进制计数器和数字钟

    0下载:
  2. 此程序是两个简单十进制计数器和数字钟,不完备之处请指教,谢谢!-this procedure is a simple two decimal counter and digital clock, from incomplete please enlighten, thank you!
  3. 所属分类:其他小程序

    • 发布日期:2024-05-15
    • 文件大小:2048
    • 提供者:
  1. COUNT_10

    0下载:
  2. VHDL源代码.设计一个带有异步清0功能的十进制计数器。计数器时钟clk上升沿有效,清零端为clrn,进位输出为co。 -VHDL source code. Asynchronous design with a 0-counter function of the metric system. Counter clock clk ascending effective end to reset clrn, rounding outpu
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:sky
  1. vhdl_clock

    0下载:
  2. VHDL实现数字时钟,利用数码管和CPLD 设计的计数器实现一个数字时钟,可以显示小时,分钟,秒。程序主要要靠考虑十进制和六十进制计数器的编写。 以上实验的程序都在源代码中有详细的注释-VHDL digital clock, the use of digital control and CPLD design to achieve a number of counter clock, show hours, minutes and
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:324608
    • 提供者:赵海东
  1. Module=99ReversibilityCounter

    0下载:
  2. 设计功能及要求 设计M=99的十进制加/减可逆计数器 (1)接通电源时电路能自启动; (2)手动分别实现加、减计数和自动实现加减可逆计数; (3)用数码管显示计数数值。 (4)给定元件:74LS192、74LS00、74LS76、74LS48及LED。-Design features and requirements of the design of the metric M = 99 plus/minus
  3. 所属分类:其他小程序

    • 发布日期:2024-05-15
    • 文件大小:320512
    • 提供者:
  1. COUNT10

    0下载:
  2. 一个十进制计数器的vhdl程序,大家可以参考,已经经过编译了-A decimal counter VHDL process, everyone can refer to, has been compiled
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:108544
    • 提供者:wangyan
  1. 1002016p_Sa_5

    0下载:
  2. 用VHDL语言实现8位十进制计数器的设计,计数结果用实验板上8个数码管显示-VHDL language with eight decimal realize the design of counters, counting the results of experiments on-board with 8 digital tube display
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:54272
    • 提供者:chenli
  1. seg73

    0下载:
  2. 递增方式在4位数码管上向上计数显示从0000-0001->0002……..9999….0000….0001…. -- 利用CPLD设计了一个4位十进制计数器,并用数码管显示当前计数值-Incremental approach in the four counts upward digital tube display from 0000-0001-
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:201728
    • 提供者:
  1. pinluji

    0下载:
  2. 四位十进制频率计设计 包含测频控制器(TESTCTL),4位锁存器(REG4B),十进制计数器(CNT10)的原程序(vhd),波形文件(wmf ),包装后的元件(bsf)。顶层原理图文件(Block1.bdf)和波形。 -Four decimal frequency meter measuring frequency controller design includes (TESTCTL), 4 bit latch (REG4
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:11264
    • 提供者:深空
  1. count10

    0下载:
  2. 用vhdl编写的十进制计数器,内部说明详细。-Prepared using VHDL decimal counter, the internal descr iption in detail.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:152576
    • 提供者:雪花
  1. t1

    0下载:
  2. 带清零和重置功能的十进制计数器,可以用LED灯显示结果-Cleared and reset with the decimal counter, can use LED lights display the results
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1011712
    • 提供者:孟明川
  1. bcd

    0下载:
  2. EDA 十进制计数器、BCD VHDL源代码-EDA decimal counter VHDL source code
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:啊毛
  1. jishuqi8421

    0下载:
  2. 用VHDL语言实现8421码的十进制计数器,状态变化0000->0001->0010->0011->0100->0101->0110->0111->1000->0000.循环往复。 -VHDL language with 8421 yards of the decimal counter, a state of change 0000-> 0001-> 0010-&g
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:173056
    • 提供者:deng
  1. CNT10-START

    0下载:
  2. 十进制计数器的设计的源代码 verilog语言 -conter10
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1108992
    • 提供者:于慧敏
  1. counter6_t10jia

    0下载:
  2. 这是一个用VHDL编写的十进制计数器程序通过编译-This is a work written in decimal counter VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:136192
    • 提供者:huguangzhou
  1. count

    0下载:
  2. 用VHDL实现一个四位十进制计数器来进行计数,并且仿真通过-To use VHDL to achieve a 4 decimal counter to count, and the simulation through the
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:李啸宇
  1. jishuqi

    0下载:
  2. 计数器,十进制计数器,完成计数的功能,满10进1-Counter, the decimal counter, the completion of counting functions, into a full 10
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:逸远
  1. counter10

    0下载:
  2. vhdl编写的十进制计数器,名字叫count10,已配好引脚(VHDL's decimal counter, named count10, has been matched with a pin)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1422336
    • 提供者:li 234
« 12 3 4 5 6 7 8 9 10 »

源码中国 www.ymcn.org