搜索资源列表

  1. PWM-LED

    0下载:
  2. 通过改变PWM占空比调节LED两端电压来改变LED灯的亮度-By changing the PWM duty cycle adjustment across the LED voltage to change the brightness of the LED lights
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-11
    • 文件大小:365568
    • 提供者:liming
  1. TIM1-Output-PWM

    0下载:
  2. 实现STM32的AD采样以及串口传输数据,并频率和占空比可调的产生PWM波-The function of this program isTo realize the STM32 AD sampling and serial data transmission, and frequency and duty ratio adjustable produce PWM wave
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-11
    • 文件大小:2978816
    • 提供者:赵砺
  1. 4_PWM_Done

    0下载:
  2. DSP TMS320F2812的输出比较模块的四路PWM波形输出,包括调节占空比-DSP TMS320F2812 output module is four road PWM waveform output, including regulating duty ratio
  3. 所属分类:DSP编程

    • 发布日期:2024-05-11
    • 文件大小:228352
    • 提供者:李浩
  1. pwm

    0下载:
  2. DSP TMS320F28335编程输出三相PWM波形,每相相差120°,可自己调节频率或设置占空比-DSP TMS320F28335 programming three-phase PWM output waveform, each phase difference of 120, can adjust the frequency or setting the duty cycle
  3. 所属分类:DSP编程

    • 发布日期:2024-05-11
    • 文件大小:403456
    • 提供者:逸天
  1. timer

    0下载:
  2. 定时器产生PWM波,频率可以随意设定,占空比可调。-PWM timer generated wave, the frequency can be arbitrarily set, adjustable duty cycle.
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-11
    • 文件大小:43008
    • 提供者:王科
  1. PWM-motor-control

    0下载:
  2. 改程序使用按键扫描改变PWM占空比控制直流电机的转速,能够加减速控制电机-Change the program to use key scan changing the PWM duty cycle to control the speed of DC motor, motor acceleration and deceleration control
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-11
    • 文件大小:755712
    • 提供者:david
  1. VHDL-divider-design

    0下载:
  2. VHDL分频器设计,本文使用实例描述了在FPGA/CPLD上使用VHDL进行分频器设计,包括偶数分频、非50 占空比和50 占空比的奇数分频、半整数(N+0.5)分频、小数分频、分数分频以及积分分频。-VHDL divider design, this article describes use cases, including even divide, non-50 duty cycle and 50 duty cycle odd
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-11
    • 文件大小:320512
    • 提供者:黄玲
  1. pwm

    0下载:
  2. 电机正反转控制的一个控制编程 可以根据PWM占空比控制电机速度-Motor reversing control of the c motor reversing control. The c motor reversing control. C motor reversing control c motor reversing control. C
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-11
    • 文件大小:1024
    • 提供者:jk
  1. EPEWave

    0下载:
  2. 使用可调电阻,可以调整输出的频率和占空比!-You can adjust the frequency and duty cycle of the output!
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-11
    • 文件大小:80896
    • 提供者:wenxiaocheng
  1. PWM

    0下载:
  2. PWM 加按键控制占空比,适用于DSP的TMS320F28027。-The PWM plus buttons control the duty cycle for the DSP TMS320F28027.
  3. 所属分类:DSP编程

    • 发布日期:2024-05-11
    • 文件大小:1024
    • 提供者:gao
  1. pwm

    0下载:
  2. 此程序是在keil软件下通过8052单片机设计了一款占空比可以调节的方波输出器-This program is in keil software by 8052 microcontroller design a duty cycle square wave output can be adjusted
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-11
    • 文件大小:10240
    • 提供者:李磊
  1. zhankongbi

    0下载:
  2. 51单片机占空比测量,.c文件,通过测试正常-51 MCU duty cycle measurement, c file, tested to normal
  3. 所属分类:其他小程序

    • 发布日期:2024-05-11
    • 文件大小:1024
    • 提供者:lifeng
  1. EX15_Motor

    0下载:
  2. DSP2812带死区的PWM驱动电机程序,系统时钟为30MHz,占空比为40 -DSP2812 PWM motor driver with a dead zone program, the system clock is 30MHz, a duty cycle of 40
  3. 所属分类:DSP编程

    • 发布日期:2024-05-11
    • 文件大小:513024
    • 提供者:莫小勇
  1. fangbo

    0下载:
  2. 基于单片机方波信号发生器(频率,占空比可调)-good for you.
  3. 所属分类:中间件编程

    • 发布日期:2024-05-11
    • 文件大小:41984
    • 提供者:deng tuo
  1. DI

    0下载:
  2. 这是一个计算占空比的VerilogHDL程序,输入一个待测信号,然后输出Ton,Toff.单位是us-This is a the duty cycle VerilogHDL calculated program to input a signal to be measured, and then outputs Ton, Toff. Unit is us
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-11
    • 文件大小:11264
    • 提供者:彭治国
  1. EvPwm01

    0下载:
  2. 使用TI公司的DSP芯片TMS320F2812的事件管理器输出占空比固定的PWM波形-Using TI' s TMS320F2812 DSP chip event manager PWM waveform output duty cycle is fixed
  3. 所属分类:DSP编程

    • 发布日期:2024-05-11
    • 文件大小:266240
    • 提供者:danlong
  1. EvPwm02

    0下载:
  2. 使用TI公司的DSP芯片TMS320F2812的事件管理器输出占空比可变的PWM波形-Event Manager using TI' s TMS320F2812 DSP chip output variable duty cycle of the PWM waveform
  3. 所属分类:DSP编程

    • 发布日期:2024-05-11
    • 文件大小:265216
    • 提供者:danlong
  1. PWM

    0下载:
  2. 基于CPLD的多路PWM的实现,单片机串口传送占空比数据-CPLD-based multi-channel PWM to achieve single-chip serial transmission duty cycle data
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-11
    • 文件大小:207872
    • 提供者:李永
  1. Pwm_generater

    0下载:
  2. 可调PWM输出,周期固定占空比变,周期变化低电平固定-Adjustable PWM output cycle fixed duty cycle change cycle low level fixed
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-11
    • 文件大小:2048
    • 提供者:Abner
  1. EP3C16_Nios_TCS320

    0下载:
  2. 基于EP3C16的颜色传感器TCS320 Nios实验,程序运行后TCS320将会在OUT管脚 输出占空比为50 的方波信号。-A experiment about color sensor TCS320 based on FPGA(EP3C16)。
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-11
    • 文件大小:20202496
    • 提供者:苏城
« 1 2 ... 45 46 47 48 49 50»

源码中国 www.ymcn.org