搜索资源列表

  1. four

    0下载:
  2. 四路抢答器 单片机开发 可以四人一起抢答 功能强大
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:273339
    • 提供者:zhangjin
  1. 用VHDL语言实现四人智力竞赛抢答器的设计

    1下载:
  2. 1、用feng模块将选手按下按键信号输出高电平给锁存模块lockb,进行锁存的同时发出aim信号实现声音提示,并使count模块进行答题时间的倒计时,在计满100妙后送出声音提示; 2、用ch41a模块将抢答结果转换为二进制数; 3、用sel模块产生数码管片选信号; 4、用ch42a模块将对应数码管片选信号,送出需要的显示信号; 5、用七段译码器dispa模块进行译码。
  3. 所属分类:VHDL编程

  1. EDA

    0下载:
  2. 以前学EDA的时候做过的四个小程序,分别是24/12小时制数字钟、数字频率计、乐曲播放电路、多人智力竞赛抢答器-EDA previously done when the four small procedures are 24/12 hour digital clock, digital frequency meter, circuit music players and many more devices quiz Answer
  3. 所属分类:其他小程序

    • 发布日期:2024-05-20
    • 文件大小:461824
    • 提供者:王宇
  1. qiangdaqi

    0下载:
  2. 抢答器,实现四人抢答功能,可以解决抢答冲突,比较简单-qiang da qi shi xian si ren qiang da gong neng
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-20
    • 文件大小:79872
    • 提供者:
  1. qiangda

    0下载:
  2. 设计的四人多路抢答器,基于vhdl开发环境-this project is based on vhdl
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:束方健
  1. vhdlcoder

    0下载:
  2. 本文件夹包含了16个VHDL 编程实例,仅供读者编程时学习参考。 一、四位可预置75MHz -BCD码(加/减)计数显示器(ADD-SUB)。 二、指示灯循环显示器(LED-CIRCLE) 三、七人表决器vote7 四、格雷码变换器graytobin 五、1位BCD码加法器bcdadder 六、四位全加器adder4 七、英语字母显示电路 alpher 八、74LS160计数器74ls160
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:59392
    • 提供者:李磊
  1. WQM

    0下载:
  2. 这是一个四人抢答器 第一个按键的 会在数码管上显示几号 延时一段时间 在进行抢答
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-20
    • 文件大小:41984
    • 提供者:hanzhihua
  1. WQM-for-four-people

    0下载:
  2. 四人抢答器课程设计报告...含文档和程序代码-Report of four Responder course design documents and program code with ...
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-20
    • 文件大小:1231872
    • 提供者:神话
  1. Four-Responder

    0下载:
  2. (1)设计用于竞赛抢答的四人抢答器; .有多路抢答,抢答台数为4; .具有抢答开始后20秒倒计时,20秒倒计时后无人抢答显示超时,并报警; .能显示超前抢答台号并显示犯规警报; (2) 系统复位后进入抢答状态,当有一路抢答按键按下,该路抢答信号将其余各路抢答信号封锁,同时铃声想起,直至该路按键松开,显示牌显示该路抢答台号; -(1) is designed to answer in the four competit
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:9216
    • 提供者:薛静
  1. VHDLkechengsheji

    0下载:
  2. 这是VHDL的课程设计 包含三个题目 流水灯 两人抢答器 四人抢答器 刚做完 传上来 共享-This is a curriculum design VHDL contains three topics water lights answer two answer four just finished Chuan-up share
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:53248
    • 提供者:李之如
  1. qiangdaqi

    0下载:
  2. 该文件是基于multisim设计的四人抢答器的仿真原理图-The file is a simulation-based the multisim design of four Responder' s schematic
  3. 所属分类:电子政务应用

    • 发布日期:2024-05-20
    • 文件大小:4096
    • 提供者:邓毅
  1. tttttttttttttttt

    0下载:
  2. 四人抢答器,抢答开始后20秒倒计时,20秒倒计时后无人抢答显示超时,并报警-Four people Responder to answer in 20 seconds after the start of the countdown .20 seconds countdown after no one answer in timeout, and alarm
  3. 所属分类:其他小程序

    • 发布日期:2024-05-20
    • 文件大小:327680
    • 提供者:赵一斯
  1. lw

    0下载:
  2. 实现抢答器的功能,四人抢答,还有附加功能包括抢答计时,提前抢答预警,到时间停止,记录分数等-you can see
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:4096
    • 提供者:陶轩
  1. four--respongder

    0下载:
  2. 四位抢答器,当某个人想要进行答题时,及时按下按键,抢答成功-Four Responder, when someone wants to answer in a timely manner to press the button, answer
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:李淼
  1. VHDL-design-four-Responder

    1下载:
  2. 1、熟悉四人抢答器的工作原理。 2、加深对VHDL语言的理解。 3、掌握EDA开发的基本流程。 -A familiar four Responder works. 2, to deepen the understanding of the VHDL language. 3, master EDA development of the basic processes.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:1179648
    • 提供者:于治成
  1. qiangdaqi

    0下载:
  2. 四人抢答器, 有4组抢答,系统开始后进入抢答状态,抢答开始后20秒倒计时,20秒倒计时后无人抢答显示超时,发出报警信号;当有一路抢答按键按下,该路抢答信号将其余各路抢答信号封锁,同时铃声响起,显示该路抢答台号;一轮结束后按复位键将所有状态复位。-Four Responder, Responder has four groups, the system begins to enter the answer in the state, be
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:357376
    • 提供者:haby
  1. Example19

    0下载:
  2. 设计一款基于VHDL的四人抢答器的小程序,按键按下开始抢答,首先按下的键值被数码管显示出来,与此同时,其它按键失去抢答作用。-VHDL-based design a small four Responder program, press the Start button to answer, first press the digital keys are displayed at the same time, other keys l
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:254976
    • 提供者:卢进
  1. ww4820-qiangdaqi

    0下载:
  2. 数字电路课程设计,原理图实现设计一个四人抢答器-Digital circuit design, schematic design to achieve a four-Responder
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:3007488
    • 提供者:王威
  1. AnswerSelect

    0下载:
  2. 实现四人抢答功能,数码管可显示抢答时间和答题时间,用按键实现抢答功能,LED灯和蜂鸣器用以提示。-Achieve four answer function, the digital displays answer in time and answer time, with buttons for the answer function, LED light and buzzer to prompt.
  3. 所属分类:易语言编程

    • 发布日期:2024-05-20
    • 文件大小:8508416
    • 提供者:李巧雅
  1. Responder-based-on-VHDL-Design

    0下载:
  2. 1、熟悉四人抢答器的工作原理。 2、加深对VHDL语言的理解。 3、掌握EDA开发的基本流程。 - Responder based on VHDL Design
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:97280
    • 提供者:漆广文
« 1 2 34 »

源码中国 www.ymcn.org