搜索资源列表

  1. 用一位全加器组成四位全加器

    0下载:
  2. 用一位全加器组成四位全加器. 所用语言是Verilog HDL. 主要用在加法器的设计中。-All-Canadian with a composed four-adder. The language used is the Verilog HDL. In addition main The design.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:3633
    • 提供者:*
  1. multi4

    0下载:
  2. fulladder.vhd 一位全加器 adder.vhd 四位全加器 multi4.vhd 四位并行乘法器-fulladder.vhd a full adder adder.vhd four full adder mult i4.vhd four parallel multiplier
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1516
    • 提供者:杨奎元
  1. myproject

    0下载:
  2. 四位全加器,VHDL语言,max+plusII平台做的
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:56500
    • 提供者:邱飞
  1. 用一位全加器组成四位全加器

    0下载:
  2. 用一位全加器组成四位全加器. 所用语言是Verilog HDL. 主要用在加法器的设计中。-All-Canadian with a composed four-adder. The language used is the Verilog HDL. In addition main The design.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:3072
    • 提供者:*
  1. jiafaqi

    0下载:
  2. 实现四位加法器的VHDL代码,里面含有全加器的代码-achieve four Adder VHDL code, which contains the full adder code
  3. 所属分类:其他小程序

    • 发布日期:2024-05-16
    • 文件大小:1024
    • 提供者:丘志光
  1. multi4

    0下载:
  2. fulladder.vhd 一位全加器 adder.vhd 四位全加器 multi4.vhd 四位并行乘法器-fulladder.vhd a full adder adder.vhd four full adder mult i4.vhd four parallel multiplier
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:1024
    • 提供者:杨奎元
  1. myproject

    0下载:
  2. 四位全加器,VHDL语言,max+plusII平台做的-Four full-adder, VHDL language, max+ PlusII platform to do
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:56320
    • 提供者:邱飞
  1. FullAdder_4

    0下载:
  2. 这是一个4位全加器,用一个1位半价做的一位全加,然后做成的四位半加。-This is a 4-bit full adder, a half-price with a make a full-adder, and then made four half adder.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:97280
    • 提供者:catalina
  1. fadder4

    0下载:
  2. VHDL实现四位全加器,适合初学者,源程序下载-VHDL realization of four full adder, suitable for beginners, the source code download
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:112640
    • 提供者:黄利
  1. VHDL_add_4

    0下载:
  2. 本程序完成带进位输入输出的四位二进制加法运算,编程思想采用真值表转换成布尔方程式,利用循环语句将一位全加器编为四位加法器。-This procedure is completed into the four-bit input and output binary adder computing, programming thinking of using truth table into a Boolean equation using
  3. 所属分类:并行运算

    • 发布日期:2024-05-16
    • 文件大小:94208
    • 提供者:韩善华
  1. VHDLsiweiquanjiaqqi

    0下载:
  2. 这是一个利用MAX PULL 制作的VHDL的四位全加器的程序 如果有需要仿真图的 请叫站长联系我-This is a MAX PULL using VHDL produced four full-adder process simulation map, if necessary please contact me call station
  3. 所属分类:汇编语言

    • 发布日期:2024-05-16
    • 文件大小:1024
    • 提供者:郭明磊
  1. ADDER4B

    0下载:
  2. 此程序是用VHDL硬件描述语言编写的,实现四位全加器的功能-This procedure is used VHDL hardware descr iption languages, the realization of the four full-adder function
  3. 所属分类:其他小程序

    • 发布日期:2024-05-16
    • 文件大小:53248
    • 提供者:
  1. w

    0下载:
  2. 用VHDL语言设计四位全加器,有低位进位和高位进位。-VHDL language with four full-adder design, there are low and the high binary binary.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:2048
    • 提供者:WL
  1. 2008619105258431

    0下载:
  2. 九个输入,一个输出,实现四位全加器,四位全加器的功能-9 input, 1 output, to achieve four full-adder, four full-adder function
  3. 所属分类:编辑器/阅读器

    • 发布日期:2024-05-16
    • 文件大小:963584
    • 提供者:fst_yiran
  1. four_adder

    0下载:
  2. 应用一位全加器的VHDL语言,创建一位全加器符号,用原理图完成四位全加器-Application of a full adder VHDL language, to create a full-adder symbol, with the principle of the completion of four full adder diagram
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:149504
    • 提供者:安博
  1. 4add

    0下载:
  2. 一位全加器和四位全加器,EDA板图设计,并且有图片。
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-16
    • 文件大小:459776
    • 提供者:钟毅
  1. vhdlcoder

    0下载:
  2. 本文件夹包含了16个VHDL 编程实例,仅供读者编程时学习参考。 一、四位可预置75MHz -BCD码(加/减)计数显示器(ADD-SUB)。 二、指示灯循环显示器(LED-CIRCLE) 三、七人表决器vote7 四、格雷码变换器graytobin 五、1位BCD码加法器bcdadder 六、四位全加器adder4 七、英语字母显示电路 alpher 八、74LS160计数器74ls160
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:59392
    • 提供者:李磊
  1. fadder_4

    0下载:
  2. 利用quartus9.0中元器件模块设计的四位全加器,能运行出结果(Quartus9.0 binary device using the design of four bit full adder, can run the results)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:101376
    • 提供者:wqjms
  1. fadder_4v

    0下载:
  2. 利用quartus9.0中verilog语言实现的四位全加器,亲测有效(Using quartus9.0 Verilog language to achieve the four bit full adder, pro test effective)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:94208
    • 提供者:wqjms
  1. xor4b

    0下载:
  2. 实现四位全加器,为初学者提供参考说明,对VHDL语言有一定了解(it's a addler of four bits,which is designed for the new learner of VHDL language)
  3. 所属分类:其他小程序

    • 发布日期:2024-05-16
    • 文件大小:91136
    • 提供者:秦秦秦
« 12 3 4 »

源码中国 www.ymcn.org