搜索资源列表

  1. verilogcode

    0下载:
  2. Verilog语言实现的多路选择器和移位寄存器的源代码.-Verilog language implementation of MUX and the shift register the source code.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-20
    • 文件大小:8192
    • 提供者:林娴
  1. 123654vhaing

    0下载:
  2. 八音自动播放电子琴设计 vhdl源码,文件内有具体注释 [VHDL-XILINX-EXAMPLE26.rar] - [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9- -Octav
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:231424
    • 提供者:杨领超
  1. FPGAVerilogHDLcode.RAR

    0下载:
  2. 一些例程供参考,包括加法器,减法器,多路选择器-failed to translate
  3. 所属分类:其他小程序

    • 发布日期:2024-05-20
    • 文件大小:1276928
    • 提供者:zhangbin
  1. VerilogSourceCode

    0下载:
  2. 乘法器、除法器、多路选择器、编码器、BCD码转换、加法器、减法器、状态机、四位比较器、数码管、串口、跑马灯、电子钟-Multiplier, divider, multiplexer, encoder, BCD code converter, adder, subtractor, state machines, four more players, digital control, serial port, marquees, elect
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:2050048
    • 提供者:zhaozhifang
  1. adder2

    0下载:
  2. 此源代码是基于Verilog语言的持续赋值方式定义的 2 选 1 多路选择器 、阻塞赋值方式定义的 2 选 1 多路选择器、非阻塞赋值、阻塞赋值、模为 60 的 BCD码加法计数器 、模为 60 的 BCD码加法计数器、BCD码—七段数码管显示译码器、用 casez 描述的数据选择器、隐含锁存器举例 ,特别是模为 60 的 BCD码加法计数器,这是我目前发现的最优源代码,应用于解码器领域。-This source code is bas
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:王柔毅
  1. xuanze4x1

    0下载:
  2. 基于VHDL语言 4选1 多路选择器 时钟48Mhz 功能4个输入只能有一个输出-Based on VHDL, 4 to 1 MUX clock 48Mhz features 4 inputs can be only one output
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:144384
    • 提供者:张帝
  1. vhdL

    0下载:
  2. VHDL多路选择器 (使用case语句)-VHDL multiplexer (using case statement)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:13312
    • 提供者:zhangxk
  1. vhdl

    0下载:
  2. 用VHDL语言实现的多路选择器,分别有if、case等不同的方法-VHDL language with the multiplexer, respectively, if, case and other different ways
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:2048
    • 提供者:周波
  1. Multiplexer-Description

    0下载:
  2. 通过应用QUARTUSII开发软件对二选一多路选择器进行设计并运行结果-Software development through the application of QUARTUSII choose one of two multiplexer design and operation results
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:10240
    • 提供者:renee
  1. Multiplexer-Description2

    1下载:
  2. 通过应用QUARTUSII开发软件对 四选一多路选择器进行设计,并给出运行结果-Software development through the application of QUARTUSII choose one of four multiplexer design, and operating results are given
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:14336
    • 提供者:renee
  1. 8xuanyi

    0下载:
  2. quartus 8选一 多路选择器 程序-8 choose a multiplexer process
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:149504
    • 提供者:刘天鸣
  1. AHB-Multiplexor-Module

    0下载:
  2. AMBA2.0版本AHB总线多路选择器设计方面的技术支持,参考ARM公司AMBA技术手册。对AHB多路选择器电路的接口、基本逻辑等方面进行介绍。-AHB_M2S_Multiplexor & AHB_S2M_Multiplexor
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:202752
    • 提供者:杨宗凯
  1. MUXK

    0下载:
  2. 双多2选1多路选择器,文件中工程与源程序都有,直接运行就可以了,你懂的-The assembly includes all required documents,and you can achieve full functionality,if you set up Quartus,you can enjoy it.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:270336
    • 提供者:雪山飞狐
  1. mux41

    0下载:
  2. 四选一多路选择器,功能是控制输出选择四个输入中的其中一个。-Four more than one way selector
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:112640
    • 提供者:wangjing
  1. MUX

    0下载:
  2. Quartus环境下多路选择器的编写代码,适合初学数字逻辑设计的进行学习-MUX in Quartus
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:253952
    • 提供者:陈轶博
  1. Multi-decoder

    0下载:
  2. Quartus环境下多路选择器的编写代码,适合初学数字逻辑设计的进行学习-Multi-decoder in Quartus
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:562176
    • 提供者:陈轶博
  1. adder128x

    0下载:
  2. 128位加法器优化设计:64位加法运算+2-1多路选择器。并在关键路径上添加寄存器,降低延迟。 testbench可以测试优化的效果,在ISE中做过综合,能跑到200+MHz-128-bit adder optimization design: 64-bit adder+ 2-1MUX. In the key path, there are regs to improve the performance and reduce the
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:118784
    • 提供者:joe
  1. 2-more-than-one-way-selector

    0下载:
  2. 利用FPGA编程-------实现“ 2选1 多路选择器”-2 more than one way selector
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:266240
    • 提供者:初昀
  1. choose

    0下载:
  2. 8-3多路选择器的fpga实现,数码管显示当前选择数据编号-8-3 multiplexer fpga realize, digital tube display the currently selected data Numbers
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:9216
    • 提供者:cc
  1. fine

    0下载:
  2. 4选一多路选择器,计算机组成原理实验的一部分,可扩展为8选一。-Choose more than one way to select the computer form the principle part of an experiment, can be extended to 8 election.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:802816
    • 提供者:陈联合
« 1 2 3 45 6 7 »

源码中国 www.ymcn.org