搜索资源列表

  1. vhdl_vga

    0下载:
  2. 彩条信号发生器使用说明 使用模块有:VGA接口、脉冲沿模块、时钟源模块。 使用步骤: 1. 打开电源+5V 2. 信号连接,按下表将1K30信号与实际模块连接好。 3. 1K30板连接好并口线,并将程序加载。 4. 将彩色显示器的线与VGA接口连接好。 5. 彩条信号就可以在显示器中产生,通过脉冲沿模块按键MS1可以改变产生彩条的 -color of the signal generator for u
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:95920
    • 提供者:刘浪
  1. danpianji

    0下载:
  2. 用单片机实现彩条信号发生器 ,实现红绿蓝场信号 , 并有动画 、渐变、 循环等效果 。这是我毕业设计成果,忍痛割爱 同大家一起分享 用MEDWIN开发的
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:2094590
    • 提供者:zhangyiyi
  1. EP1C6_12_3_VGAimg

    0下载:
  2. 基于fpga和sopc的用VHDL语言编写的EDA的VGA彩条信号显示控制器
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:21704
    • 提供者:多幅撒
  1. 453

    0下载:
  2. 基于FPGA的VGA时序彩条信号实现方法及其应用
  3. 所属分类:操作系统开发

    • 发布日期:2008-10-13
    • 文件大小:134684
    • 提供者:chenjj
  1. lq057q3dc02.tar

    0下载:
  2. lcd测试模块,用VHDL写的,产生彩条信号
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1972447
    • 提供者:李志刚
  1. vga

    0下载:
  2. 一个VHDL产生的VGA彩条信号程序,希望可以对你有帮助!
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:193711
    • 提供者:白杨
  1. VGA_1024×768×85

    0下载:
  2. 用verilog hdl实现的VGA显示彩条信号,其中包括VGA时序、竖彩条、横彩条、棋盘格
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:451222
    • 提供者:华磊
  1. TVTEST

    0下载:
  2. 电视信号发生器可以产生滚动彩条信号,黑白竖条,棋盘信号,细彩条等,包内含KEIL的工程文件原理图PCB图
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:473233
    • 提供者:韦全强
  1. 数字电子电路-VGA图像显示控制器

    0下载:
  2. 设计一个VGA图像显示控制器,使其实现以下功能---- 1. 显示模式为640╳480╳60Hz。 2. 用拨码开关控制R,G,B(每个2位),使显示器可以显示64种纯色。 3. 在显示器上显示横向彩条信号(至少六种颜色)。 4. 在显示器上显示纵向彩条信号(至少八种颜色)。 5. 在显示器上显示自行设定的图形,图像等。 6. 选做,自拟其他功能。 所利用到的元器件有: 电脑,显示器,vga接口转换模块, 数字电子电路
  3. 所属分类:VHDL编程

  1. vhdl_vga

    0下载:
  2. 彩条信号发生器使用说明 使用模块有:VGA接口、脉冲沿模块、时钟源模块。 使用步骤: 1. 打开电源+5V 2. 信号连接,按下表将1K30信号与实际模块连接好。 3. 1K30板连接好并口线,并将程序加载。 4. 将彩色显示器的线与VGA接口连接好。 5. 彩条信号就可以在显示器中产生,通过脉冲沿模块按键MS1可以改变产生彩条的 -color of the signal generator for u
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:95232
    • 提供者:刘浪
  1. danpianji

    0下载:
  2. 用单片机实现彩条信号发生器 ,实现红绿蓝场信号 , 并有动画 、渐变、 循环等效果 。这是我毕业设计成果,忍痛割爱 同大家一起分享 用MEDWIN开发的-With MCU color signal generator, to achieve red-green-blue field signal, and animation, transitions, effects circulation. This is my graduation
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-16
    • 文件大小:2094080
    • 提供者:zhangyiyi
  1. EP1C6_12_3_VGAimg

    0下载:
  2. 基于fpga和sopc的用VHDL语言编写的EDA的VGA彩条信号显示控制器-FPGA and SOPC based on the use of VHDL language EDA color signal of the VGA display controller
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-16
    • 文件大小:21504
    • 提供者:多幅撒
  1. 453

    0下载:
  2. 基于FPGA的VGA时序彩条信号实现方法及其应用-FPGA-based timing of the VGA color signals realize Method and Its Application
  3. 所属分类:操作系统开发

    • 发布日期:2024-05-16
    • 文件大小:134144
    • 提供者:chenjj
  1. vga

    0下载:
  2. 一个VHDL产生的VGA彩条信号程序,希望可以对你有帮助!-VHDL generated by a VGA color signal process, I hope you can help!
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:193536
    • 提供者:白杨
  1. VGA_1024×768×85

    0下载:
  2. 用verilog hdl实现的VGA显示彩条信号,其中包括VGA时序、竖彩条、横彩条、棋盘格-Using verilog hdl realize the VGA display color signals, including VGA timing, vertical color, Wang Cai, the checkerboard lattice
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:450560
    • 提供者:华磊
  1. TVTEST

    0下载:
  2. 电视信号发生器可以产生滚动彩条信号,黑白竖条,棋盘信号,细彩条等,包内含KEIL的工程文件原理图PCB图-TV signal generator can produce a rolling color signals, black-and-white vertical bar, checkerboard signal, fine color, etc., including the project file containing KEI
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-16
    • 文件大小:473088
    • 提供者:韦全强
  1. VGADIY

    0下载:
  2. 自己编的VGA彩条信号发生器verilog ise环境-Own the VGA color signal generator verilog ise Environment
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:416768
    • 提供者:mcuxxq
  1. bianma

    0下载:
  2. 75 彩条信号 模拟基带信号(一行)残留边带滤波器频谱图-75 color bars signal analog baseband signal (line)
  3. 所属分类:其他小程序

    • 发布日期:2024-05-16
    • 文件大小:1024
    • 提供者:xiaoming
  1. vgacode

    0下载:
  2. VGA彩条信号发生器,用于基本的测试 参考一下(VGA color signal generator)
  3. 所属分类:其他小程序

    • 发布日期:2024-05-16
    • 文件大小:351232
    • 提供者:woshiwangjie
  1. 08_1_hdmi_output_test

    1下载:
  2. 基于fpga的hdmi彩条输出实验 , 彩条生成模块加上hdmi编码器,输出TMDS信号接到显示器即可看到彩条。 可以调整输出分辨率(HDMI color bar output experiment based on FPGA The color bar generation module and the HDMI encoder can output the TMDS signal to the display and see the
  3. 所属分类:VHDL编程

    • 发布日期:2019-03-25
    • 文件大小:1579008
    • 提供者:黑鱼秋水
« 12 3 4 »

源码中国 www.ymcn.org