搜索资源列表

  1. 多功能数字钟设计

    0下载:
  2. 我做课程设计时候所设计出的数字钟电路,实现分、秒计时,异步复位、暂停功能,已经在板子上面实现。和大家分享,一起进步!
  3. 所属分类:VHDL编程

    • 发布日期:2010-10-15
    • 文件大小:182477
    • 提供者:chenlu1986
  1. 数字钟的设计

    0下载:
  2. 数字式计时器一般都由震荡器,分频器,译码器及显示几部分组成。其中震荡器和分频器组成标准秒信号发生器,接成各种不同进制的计数器组成计时系统,译码器,显示器组成显示系统,另外一些组合电路组成校时调节系统。-digital timer usually are oscillator, dividers, decoder and display several parts. Which oscillator and divider standar
  3. 所属分类:文档资料

    • 发布日期:2024-05-12
    • 文件大小:118784
    • 提供者:lee
  1. data-shuzizhong

    0下载:
  2. 此文件是本人设计的一个多功能数字钟的详细资料,现供大家参考.-I designed a multi-function digital clock detailed information is available for your reference.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-12
    • 文件大小:25600
    • 提供者:
  1. dszsj

    0下载:
  2. 多功能数字钟设计,对大家一定会有帮助的,请回贴哦-multifunction digital clock design, we will certainly be of help, please unidentified oh
  3. 所属分类:TreeView控件

    • 发布日期:2024-05-12
    • 文件大小:86016
    • 提供者:嗜好
  1. clockyzl

    0下载:
  2. vhdl语言,实现数字钟的设计,用component实现-vhdl languages, digital clock design, component achievement
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-12
    • 文件大小:1206272
    • 提供者:杨志良
  1. VHDL-FPGA-clock

    1下载:
  2. FPGA数字钟的设计,用VHDL语言编程,max+plus仿真,可在实际电路中验证-FPGA design, VHDL programming, max plus simulation, in the actual circuit verification
  3. 所属分类:其他小程序

    • 发布日期:2024-05-12
    • 文件大小:269312
    • 提供者:王越
  1. FPGAdigitaltimer

    0下载:
  2. 本设计要实现一个具有预置数的数字钟的设计,具体要求如下: 1. 正确显示年、月、日 2. 正确显示时、分、秒 3. 具有校时,整点报时和秒表功能 4. 进行系统模拟仿真和下载编程实验,验证系统的正确性 -designed to achieve this with a number of preset clock design, and specific requirements are as follows
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:502784
    • 提供者:wangpeng
  1. EDAproject

    0下载:
  2. EDA课程设计报告,报告是关于数字钟的设计,报告格式正确,讲解详细,是做EDA报告的必备参考。-curriculum design EDA report on the digital clock is the design, report the correct format to explain in detail, EDA is so essential source of the report.
  3. 所属分类:软件工程

    • 发布日期:2024-05-12
    • 文件大小:92160
    • 提供者:潘世雄
  1. quar42_CYC_DEMO_V2_test

    0下载:
  2. eda 开发数字钟的设计具体编程代码和开发流程与设计图-EDA to develop digital clock design and development of specific programming code and design flow chart
  3. 所属分类:其他小程序

    • 发布日期:2024-05-12
    • 文件大小:703488
    • 提供者:xiaqinghe
  1. hw1806

    0下载:
  2. 数字钟的设计,方框图-Digital clock design, block diagram
  3. 所属分类:软件工程

    • 发布日期:2024-05-12
    • 文件大小:251904
    • 提供者:
  1. EEE

    0下载:
  2. 一个数字钟的设计方法及方框图,请指教-A digital clock and block diagram design method, please advise
  3. 所属分类:软件工程

    • 发布日期:2024-05-12
    • 文件大小:205824
    • 提供者:
  1. SIJTQ6tQ

    0下载:
  2. 利用一块芯片完成除时钟源、按键、扬声器和显示器(数码管)之外的所有数字电路功能。所有数字逻辑功能都在CPLD器件上用VHDL语言实现。这样设计具有体积小、设计周期短(设计过程中即可实现时序仿真)、调试方便、故障率低、修改升级容易等特点。 本设计采用自顶向下、混合输入方式(原理图输入—顶层文件连接和VHDL语言输入—各模块程序设计)实现数字钟的设计、下载和调试。 一、 功能说明 已完成功能 1. 完成秒/分/时的依次
  3. 所属分类:压缩解压

    • 发布日期:2024-05-12
    • 文件大小:677888
    • 提供者:luoliang
  1. duogongnengdianzishuzizhong

    0下载:
  2. 多功能电子数字钟vhdl 计算机专业课程设计必备-Multi-function electronic digital clock VHDL Computer Science curriculum design must
  3. 所属分类:其他小程序

    • 发布日期:2024-05-12
    • 文件大小:43008
    • 提供者:李久鑫
  1. digitalclock

    0下载:
  2. 关于我的数字钟的设计,设计一个24小时的数字闹钟,该数字闹钟的面板如图9.1所示,它包括以下几个组成部分:(1)显示屏,由7个七段数码管组成,其中6个用于显示当前时间(时:分:秒)或设置的闹钟时间,而另一个则用于显示系统内部产生的周期性循环变化的待选预置数字; (2)YES(确认)键:用于输入新的时间或新的闹钟时间时,对每位待选预置数字输入的确认;(3)TIME(时间)键:用于确定新的时间设置;(4)ALARM(闹钟)键:用于确定新的闹
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-12
    • 文件大小:635904
    • 提供者:王涛
  1. verilog1

    0下载:
  2. 基于FPGA的多功能数字钟Verilog设计2007-06-17 21:06基本功能: 1.具有时、分、秒计数显示功能(6位数码管构成),以24小时循环为计时基准。 2. 具有调节小时、分钟的功能。 3.具有整点报时功能,整点报时的同时数码管显示闪烁提示。 -err
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:8192
    • 提供者:
  1. petyfer.RAR

    0下载:
  2. 【设计题目】 多功能数字钟的设计 【设计目的】 1掌握数字系统的分析和设计方法 2能够熟练的、合理的选用集成电路器件 3熟悉EWB软件的使用。 【设计指标及要求】 设计一个多功能数字钟,以一昼夜24小时为一个计数周期。准确计时,具有“时”“分”“秒”数字显示。整点能自动打点、报时。要求报时声响四低一高,最后一响为整点。具有校时功能。要求电路主要采用中小规模CMOS集成电路。要求电路尽量简化,并选用同类型的
  3. 所属分类:软件工程

    • 发布日期:2024-05-12
    • 文件大小:197632
    • 提供者:petyfer
  1. shuzhizhong_kechengsheji

    0下载:
  2. 中南大学数字电子技术课程设计--数字钟的设计 一.设计目的 1. 进一步掌握各芯片的逻辑功能及使用方法。 2. 进一步掌握数字钟的设计方法和和计数器相互级联的方法。 3. 进一步掌握数字系统的设计和数字系统功能的测试方法。 4. 进一步掌握数字系统的制作和布线方法。 二.设计要求 1.设计指标  数字钟具有显示时、分、秒的功能;  有校时功能,可以分别对时及分进行单独校
  3. 所属分类:软件工程

    • 发布日期:2024-05-12
    • 文件大小:159744
    • 提供者:thocr
  1. av

    0下载:
  2. 多功能数字钟的设计。要求:使用单片机实现智能数字钟,应该具有以下功能: 1,能动态显示年月日、时分秒(用LCD液晶显示),误差小于±10%; 2,具有闹钟功能; 3,重要事件提醒功能; 4,液晶显示具有反显选择功能。 摘 要 多功能数字钟在电子产品的研发和制造中占有很重要的位置,其主要功能在于能动态显示时间,并且具有闹钟和重要事件提醒等多种功能,用途广泛,意义深远。本次课程设计规定使用单片机制作一个简易的多
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-12
    • 文件大小:4096
    • 提供者:
  1. digital-clock

    0下载:
  2. 数字钟是计时仪器,它的功能大家都很熟悉。本实验对设计的电子钟要求为: 1.能够对s(秒)、min(分)和h(小时)进行计时,每日按24h计时制; 2.min和h位能够调整; 3.设计要求使用自顶向下的设计方法。 数字钟的功能实际上是对s信号计数。实验板上可提供2Hz的时钟,二分频后可产生s时钟。数字钟结构上可分为两个部分c计数器和显示器。计数器又可分为s计数器、min计数器和h计数器。s计数器和min计数器由6进制和10
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:11264
    • 提供者:hanbaoshuai
  1. 多点定是数字钟的设计

    0下载:
  2. 以AT89S51单片机为核心器件,组成一个定时数字钟系统,系统显示器由四位数字型数码管组成,分别显示小时和分钟,能够随时对当前时间进行调整,并能构随时输入定时时间,在定时时间到时会有提示音提示时间已到。(Using AT89S51 microcontroller as the core device, a digital clock timing system, the system displays a four bit digita
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-12
    • 文件大小:168960
    • 提供者:pczx2012
« 12 3 4 5 6 7 8 9 10 ... 18 »

源码中国 www.ymcn.org