搜索资源列表

  1. 分频器VHDL描述

    0下载:
  2. 在数字电路中,常需要对较高频率的时钟进行分频操作,得到较低频率的时钟信号。我们知道,在硬件电路设计中时钟信号时非常重要的。-in digital circuits, the need for regular high frequency clock operating frequency for hours, a lower frequency of the clock signal. We know that the hardware
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:5460
    • 提供者:王力
  1. 分频器设计

    0下载:
  2. 设计一个带复位的分频器,输入时钟为60MHz,输出时钟为7.5MHz。
  3. 所属分类:VHDL编程

  1. 分频器VHDL描述

    0下载:
  2. 在数字电路中,常需要对较高频率的时钟进行分频操作,得到较低频率的时钟信号。我们知道,在硬件电路设计中时钟信号时非常重要的。-in digital circuits, the need for regular high frequency clock operating frequency for hours, a lower frequency of the clock signal. We know that the hardware
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:5120
    • 提供者:王力
  1. clk_divide_3

    0下载:
  2. VHDL语言编写三分频,可以扩展实现任意奇数-VHDL prepared three frequency can be extended to achieve arbitrary odd
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:124928
    • 提供者:利津候
  1. news5f

    0下载:
  2. Verilog HDL语言编写的5分频电路。采用两路时钟相逻辑作用产生。-Verilog HDL prepared by the five-frequency circuits. Clock using two phase logic role.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:44032
    • 提供者:曹光明
  1. byvhdstopwatchl

    1下载:
  2. 1.高精度数字秒表(0.01秒的vhdl语言实现) 2.具有定时,暂停,按键随机存储,翻页回放功能; 3.对30M时钟分频产生显示扫描时钟 4.精度高达0.01s,并且可以通过改变主频来更改分频比和记数间隔,可控性高。 5.模块化设计,其中的许多函数可以成为vhdl语言的通用经典例子(包含分频电路设计,动态扫描时钟设计,译码电路设计,存储器设计,存储回放显示设计)-1. High-precision digital s
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:2048
    • 提供者:方周
  1. div_js

    0下载:
  2. 技术分频器。把时钟分为奇数个,好像我做出来是个通用的。-Technology divider. The clock is divided into odd-numbered months, as I make out is a common.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:272384
    • 提供者:catalina
  1. fenpin1

    0下载:
  2. VHDL分频器,利用分频比较错法,要实现K=324/28=8.3571428571...的分频周期为28,18个8分频和10个9分频循环,所以设一个0到27的循环计数器,每当1、4、7、10、13、16、19、22、27时进行9分频,其他时为8分频;为使占空比尽量接近50%,需要在每一个8或9分频中设定一下输出几个时钟的0和1。-err
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:wx
  1. freqdivfinal

    0下载:
  2. 用vhdl实现的分频器,可产生任意对主时钟的分频,从而是实现不同频率pwm的控制-Achieved using VHDL divider can produce any of the sub-master clock frequency, thereby achieving different frequency pwm control
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:2048
    • 提供者:
  1. div

    0下载:
  2. 分频器是FPGA设计中使用频率非常高的基本单元之一。尽管目前在大部分设计中还广泛使用集成锁相环(如altera的PLL,Xilinx的DLL)来进行时钟的分频、倍频以及相移设计,但是,对于时钟要求不太严格的设计,通过自主设计进行时钟分频的实现方法仍然非常流行。首先这种方法可以节省锁相环资源,再者,这种方式只消耗不多的逻辑单元就可以达到对时钟操作的目的。 偶数倍分频:偶数倍分频应该是大家都比较熟悉的分频,通过计数器计数是完全可以实
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:2048
    • 提供者:王子
  1. clock-divider

    0下载:
  2. 这是一个关于时钟分频率器的程序,它可以实现频率的扩大。-This is a device on the clock frequency of the procedure, it can realize the expansion of the frequency.
  3. 所属分类:Windows编程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:李军
  1. work4dvf

    0下载:
  2. 数控分频器的设计数控分频器的功能就是当在输入端给定不同输入数据时,将对输入的时钟信号有不同的分频比,数控分频器就是用计数值可并行预置的加法计数器设计完成的,方法是将计数溢出位与预置数加载输入信号相接即可。-NC NC divider divider design of its function is when the input given different input data, input the clock signal wil
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:33792
    • 提供者:lkiwood
  1. f50k

    0下载:
  2. VHDL产生时钟50分频程序,供初学者参考-VHDL generated clock frequency of 50 procedures, the reference for beginners
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:813056
    • 提供者:星诺
  1. any_div_freq

    0下载:
  2. 可以对输入时钟任意分频(整数或小数),带Quartus II 完整项目文件.-Can be arbitrary points on the input clock frequency (integer or decimal), with complete Quartus II project document.
  3. 所属分类:书籍源码

    • 发布日期:2024-05-15
    • 文件大小:245760
    • 提供者:拉灯
  1. clk_div_n

    0下载:
  2. 时钟任意分频模块,输入为主时钟和分频数,输出为主时钟/分频数。-Clock divider
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:tmp_tmp_1
  1. pinlvxianshi

    0下载:
  2. 通过FPGA中的时钟信号分频作为基准频率,将另一频率作为输入与之比较,并在数码管显示输入频率。(The frequency division of the clock signal in the FPGA is used as the reference frequency, the other frequency is used as input, and the input frequency is displayed in the
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:8434688
    • 提供者:狄克推多
  1. encoder_clk

    0下载:
  2. 精确实现奇数分频,将FPGA开发板提供的25MHZ时钟分频为1MHZ,内含测试文件(Accurate realization of odd frequency division, the FPGA development board provides 25MHZ clock frequency divided into 1MHZ, containing test files)
  3. 所属分类:VHDL编程

  1. fenpin

    0下载:
  2. 实现奇数、偶数分频,fpga,Verilog,时钟分频(clock divider,frequency division)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:2048
    • 提供者:饭饭哒
  1. FPGA分频

    0下载:
  2. xilinx spant6 PLL分频,生成4个不同频率的时钟,实现LED闪烁。(xilinx spant6 PLL frequency division)
  3. 所属分类:VHDL编程

  1. vhdl分频器设计

    0下载:
  2. vhdl分频器设计,用quartus软件偏写,可进行时钟的分频。(Design of VHDL frequency divider)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:279552
    • 提供者:YXT800
« 12 3 4 5 6 7 8 9 10 ... 24 »

源码中国 www.ymcn.org