搜索资源列表

  1. Hello

    0下载:
  2. DE2板上的hello程序,实现在8个七段译码器上循环显示hello
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:281498
    • 提供者:罗杰
  1. Hello

    0下载:
  2. DE2板上的hello程序,实现在8个七段译码器上循环显示hello-err
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:281600
    • 提供者:罗杰
  1. hello

    0下载:
  2. "Hello QT" 简单的QT嵌入编程,在LCD上显示一个对话框-a easy QT Programme
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-16
    • 文件大小:17408
    • 提供者:李焕东
  1. hello

    0下载:
  2. 是基于J2ME的简单的显示hello字幕的程序,有助于初学者了解J2ME的基本构架-J2ME is based on a simple display hello subtitles procedures, will help beginners learn the basic fr a mework for J2ME
  3. 所属分类:J2ME

    • 发布日期:2024-05-16
    • 文件大小:5120
    • 提供者:吕主月
  1. HELLO

    0下载:
  2. 利用OPENCV从磁盘中读入图像文件,并将图像显示在屏幕上-The use of OPENCV read from the disk image file, and image display on the screen
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2024-05-16
    • 文件大小:247808
    • 提供者:王鹤
  1. masm

    0下载:
  2. 在DOS中编译,显示HELLO WORLD的汇编语言!-In the DOS in the compilation, assembly language displays HELLO WORLD!
  3. 所属分类:汇编语言

    • 发布日期:2024-05-16
    • 文件大小:177152
    • 提供者:yx
  1. helloVC

    0下载:
  2. 利用ProjWizard创建Win32程序时,VC可以创建一个空项目,一个简单Win32架构程序,或一个典型的Win32 程序,分别利用这三种方式创建一个Win 32应用程序,应用程序的主窗口显示“Hello, VC”等字样,同时当按下鼠标左键时,计算机发出叮咚声.-Win32 program using ProjWizard create, VC you can create an empty project, a simple fr
  3. 所属分类:其他小程序

    • 发布日期:2024-05-16
    • 文件大小:4427776
    • 提供者:刘文珍
  1. hello

    0下载:
  2. 在CCS软件的仿真器实现功能,显示“ hello world”-In the CCS simulator software implementation capabilities, display " hello world"
  3. 所属分类:数值算法/人工智能

    • 发布日期:2024-05-16
    • 文件大小:3072
    • 提供者:紫伶
  1. hello

    0下载:
  2. 一个程序,在实验板启动时可以显示hello欢迎字符-a program enable the lcd to show"hello"when started
  3. 所属分类:Windows CE

    • 发布日期:2024-05-16
    • 文件大小:19456
    • 提供者:wangxin
  1. displayHELLO

    0下载:
  2. verilog语言编写,在altera公司的de2实验板上实现八个数码管循环显示HELLO-verilog language, in the experimental altera de2 board to achieve the company' s eight digital control loop shown HELLO
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:2048
    • 提供者:luping
  1. hello

    0下载:
  2. sdk编写,能生成一个能跳出一个对画框且显示hello的小程序-sdk write, can generate a fr a me and can jump out of an applet display hello
  3. 所属分类:Windows编程

    • 发布日期:2024-05-16
    • 文件大小:1925120
    • 提供者:gongsheng
  1. hello

    0下载:
  2. 实现简单数码管显示功能 ,显示‘hello’,对初学者有好处-Simple function digital display, display ' hello' , good for beginners
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-16
    • 文件大小:2048
    • 提供者:于奎伟
  1. loop-HELLO

    0下载:
  2. 8位数码管循环显示HELLO.采用不同的延时时间,让数码管上HELLO,左右显示时的时间不同-8-bit digital control loop shown HELLO. With different delay time on the digital control HELLO, display different times around
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:382976
    • 提供者:刘达
  1. hello

    0下载:
  2. 精通QT编程源代码:编写一个显示hello的QT程序。-English of QT.The program of Hello.
  3. 所属分类:Linux/Unix编程

    • 发布日期:2024-05-16
    • 文件大小:361472
    • 提供者:linjianqiu
  1. hello

    0下载:
  2. 利用ARM-CROTEX-M3在液晶屏上显示HELLO-The use of ARM-CROTEX-M3 on the LCD screen display HELLO
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-16
    • 文件大小:613376
    • 提供者:数碰
  1. Hello-World

    0下载:
  2. 安卓开发环境的入门应用,简单的布局和功能图片的显示-Andrews started the application development environment, the simple layout and features pictures of the display
  3. 所属分类:android开发

    • 发布日期:2024-05-16
    • 文件大小:112640
    • 提供者:王雪
  1. HELLO

    0下载:
  2. 数码管滚动显示hello,此程序为51单片机程序,如需使用请自我更改-Digital control to scroll the display hello this program for 51 single-chip program, For use please self-change
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-16
    • 文件大小:1024
    • 提供者:angel
  1. hello

    0下载:
  2. 这是飞思卡尔xs128单片机串口调试程序,串口显示Hello World。-Freescale xs128 microcontroller serial debugger, serial display Hello World.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-16
    • 文件大小:200704
    • 提供者:残虹噬剑
  1. hello

    0下载:
  2. lm3s3748开发板上驱动液晶屏显示HELLO字样程序-lm3s3748 development board to drive LCD display HELLO "
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-16
    • 文件大小:299008
    • 提供者:dkfjadlkf
  1. HELLO

    0下载:
  2. 89C51单片机仿真的8位一体数码管滚动显示HELLO-8 89C51 microcontroller simulation integrated digital tube rolling display HELLO
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-16
    • 文件大小:64512
    • 提供者:ok
« 12 3 4 5 6 7 8 9 10 ... 13 »

源码中国 www.ymcn.org