搜索资源列表

  1. skj

    0下载:
  2. 有限状态机的经典,根据状态图编码,可以直接实现。-Classical finite state machine, according to state diagram encoding, can achieve.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:215040
    • 提供者:江浩
  1. traffic

    0下载:
  2. 采用 EDA 技术,并应用目前广泛应用的VHDL 硬件电路描述语言,实现交通灯系统控制 器的设计。掌握使用VHDL 语言设计有限状态机的方法。-Using EDA, and applied widely applied to the VHDL language, hardware circuit to describe traffic control system Design. Master use VHDL language
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:1024
    • 提供者: lijianchen
  1. zidongshouhuojisheji

    0下载:
  2. 本文采用Verilog HDL描述语言实现自动售货机系统的销售动作,用有限状态机进行系统状态描述,自动售货机通电复位时,自动进入系统初始状态,本文设计的自动售货机控制系统主要可以实现投币处理、计算投币总额、输出商品,输出找零、余额计算并显示等功能。-This verilog hdl describe language used for automatic machines system of action, with a limited
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:34816
    • 提供者:高菲悦
  1. FSMso-s3

    0下载:
  2. 有限状态机实现 自己做的 参考下-Finite state machine implementation
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:166912
    • 提供者:周鹏飞
  1. FSM

    0下载:
  2. 有限状态机,用Verilog语言,执行正确,仿真通过。-Finite state machine, with the Verilog language, the implementation of the right, simulation pass.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:339968
    • 提供者:uyuy0401
  1. EDA3

    0下载:
  2. 实验目的 1.学习一般有限状态机的设计; 2.实现串行序列的设计。 二、设计要求 1. 先设计0111010011011010序列信号发生器; 2. 再设计一个序列信号检测器,若系统检测到串行序列11010则输出为“1”,否则输出为“0”,并对其进行仿真和硬件测试。 -Purpose of the experiment 1. Learning the general design of finite state
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:94208
    • 提供者:维吉尔
  1. ISE_lab14

    0下载:
  2. 采用EDA技术,并应用目前广泛应用的VHDL硬件电路描述语言,实现交通灯系统控制 器的设计。掌握使用VHDL语言设计有限状态机的方法。-With EDA technology and application of the widely used hardware descr iption language VHDL, to achieve traffic light system controller design. Master
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:518144
    • 提供者:zhangsheng
  1. BLP

    0下载:
  2. :经典的BLP模型是在计算机安全系统中实现多级安全性支持的基础,被视作基本安全公 理.BLP模型采用“强制存取控制”和“自主存取控制”来实现其安全性,总结了BLP模型的“公理 化描述”、“有限状态机模型描述”和“信息流的格模型描述”三种不同描述方法,分析了其BLP模型 目前存在的安全缺陷主要是:安全级定义的不完备性、信息完整性缺陷、时域安全性缺陷和隐通 道.在对BLP模型的改进中,影响较大的主要有BLDM 与MBLP两种
  3. 所属分类:Windows编程

    • 发布日期:2024-05-21
    • 文件大小:200704
    • 提供者:dcs
  1. FSMwithOutputsDecode

    0下载:
  2. 有限状态机FSM with Outputs Decoded in Parallel Output Register-FSM with Outputs Decoded in Parallel Output Register
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:1024
    • 提供者:fjmwu
  1. FSMwithOutputsEncodedwithinStateBits

    0下载:
  2. FSM有限状态机FSM with Outputs Encoded within State Bits-FSM with Outputs Encoded within State Bits
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:1024
    • 提供者:fjmwu
  1. Thsign

    0下载:
  2. 基于VerilogHDL的MTM总线主模块有限状态机设计The MTM bus on the main module VerilogHDL finite state machine design-The MTM bus on the main module VerilogHDL finite state machine design
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:550912
    • 提供者:ben3293
  1. FSM

    0下载:
  2.  用程序实现状态机功能,有限状态机是指输出取决于过去输入部分和当前输入部分的时序逻辑电路。一般来说,除了输入部分和输出部分外,有限状态机还含有一组具有“记忆”功能的寄存器,这些寄存器的功能是记忆有限状态机的内部状态,它们常被称为状态寄存器。在有限状态机中,状态寄存器的的下一个状态不仅与输入信号有关,而且还与该寄存器的当前状态有关,因此有限状态机又可以认为是组合逻辑和寄存器逻辑的一种组合。其中,寄存器逻辑的功能是存储有限状态机的内部状态;
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:1024
    • 提供者:李小明
  1. ystem

    0下载:
  2. 有限状态机的战场环境仿真用户界面管理系统-Finite state machine simulation of the battlefield environment user interface management system
  3. 所属分类:Windows编程

    • 发布日期:2024-05-21
    • 文件大小:470016
    • 提供者:amm
  1. Example-6-1

    0下载:
  2. 写好状态机 1.Example-6-1\FSM\state1目录下为一段式FSM描述方法源码 2.Example-6-1\FSM\state2目录下为两段式FSM描述方法源码 3.Example-6-1\FSM\state3目录下为三段式FSM描述方法源码 4.Example-6-1\FSM\ state_default目录下为添加了default默认状态的源码 使用FSM Viewer分析有限状态机 1.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:73728
    • 提供者:林立
  1. AD7938controllor-VHDL

    0下载:
  2. VHDL语言的有限状态机法控制8位/12位自动转换通道模数转换器AD7938-VHDL, FSM method to control 8-bit/12-bit ADC AD7938 auto-conversion channel
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:2048
    • 提供者:
  1. snake

    0下载:
  2. 用VHDL语言编写的贪吃蛇小游戏。利用有限状态机原理,对不同情况判断得到下一步操作参数。程序下载能够运行-VHDL language with the Snake game. Finite state machine theory, judging by the different operating parameters for the next step. Download to run
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:1078272
    • 提供者:river
  1. moore

    0下载:
  2. 摩尔有限状态机的例子很好的,实验读写控制-an example of FSM of moore
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:182272
    • 提供者:王婷
  1. FSM-Based_Digital_Design_

    0下载:
  2. 对FPGA开发帮助很大,vhl中有限状态机设计精华-Great help for FPGA development, vhl essence of a finite state machine design
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-21
    • 文件大小:2470912
    • 提供者:yuyong
  1. fsm_moore_2_always

    0下载:
  2. 使用2個always去描述有限状态机的3個block,state register與next state logic合一 -state register and next state logic
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:1024
    • 提供者:李蒙
  1. adc

    0下载:
  2. 设计ADC控制器,Verilog代码.利用有限状态机设计方法在FPGA上设计ADC0809的接口控制器,采样结果送到数码管显示出来。-ADC controller design, Verilog code using finite state machine design in the FPGA design ADC0809 interface controller, the sampling results to the digita
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:3072
    • 提供者:钟雪美
« 1 2 3 4 5 6 7 89 10 11 12 13 14 15 »

源码中国 www.ymcn.org