搜索资源列表

  1. fcout

    0下载:
  2. 频率计源代码,性能很好,verilog写的,顶层原理图,底层语言写的,效果很好,开发环境为quartus-Cymometer source code, good performance, verilog written by the top diagram, the bottom language was written. good effect, and development environment for quartus
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:293888
    • 提供者:苏纳
  1. 1231212

    0下载:
  2. 是一个基于80C51的频率计,包括源码和电路图,和仿真电路文件。用ISIS打开-is based on an 80C51 the frequency, including the source code and circuit diagrams, documents and circuit simulation. Opened with ISIS
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-12
    • 文件大小:120832
    • 提供者:沈佳华
  1. FreqCounter

    0下载:
  2. 一个有效位为4位的十进制的数字频率计,VHDL语言编写,已在硬件实验箱上实验通过。-an effective place to four the number of decimal frequency meter, VHDL language, in the box on the experimental hardware experiment.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:3072
    • 提供者:小花猫
  1. pinlvji

    0下载:
  2. 频率计,vhdl语言, ispDesignEXPERT-Frequency meter, vhdl language, ispDesignEXPERT
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:1024
    • 提供者:yuankui
  1. Freq

    0下载:
  2. 简易数字频率计,用Verilog HDL编写的,基于Quartus II实现,结构清晰,功能较为全面,能满足简单的频率测量要求-Simple digital frequency meter, using Verilog HDL prepared, based on the Quartus II realize, clear structure, function is more comprehensive to meet the sim
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-12
    • 文件大小:404480
    • 提供者:余翔
  1. The-frequency-account

    0下载:
  2. 本频率计采用数据选择器实现对任意周期信号的频率、周期、脉宽、占空比、自检等不同功能输入电路的选择,通过键盘实现了各个功能的选择,最后采用LED动态显示模块将所测的数值显示出来。-The frequency meter data selector realize periodic signal of arbitrary frequency, period, pulse width, duty cycle, different functi
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-12
    • 文件大小:8192
    • 提供者:上官婉儿
  1. cymometerdesignunderFPGA

    0下载:
  2. 自己做的FPGA下的频率计模块化设计 附有完整的程序和仿真图纸-The FPGA to do their own under the modular design of the frequency meter with a complete simulation of the procedures and drawings
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:63488
    • 提供者:li
  1. youname

    0下载:
  2. 用QUARTUS编译通过的等精度频率计,我错误,但有几个警告(不影响设计)。我的毕业设计啊!!! -Quartus compiler passed with precision frequency meter, etc., I am wrong, but there are several warning (excluding the impact of design). My graduation project ah! ! !
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:2048
    • 提供者:luoliwen
  1. sample_cymometer

    0下载:
  2. 单片机简易频率计。包含有Proteus仿真程序-Simple single-chip frequency counter. Proteus simulation program contains
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-12
    • 文件大小:69632
    • 提供者:蓝色枫
  1. fre

    0下载:
  2. 基于等精度测频原理,设计的等精度频率计,测试结果很精确,达到0.01HZ。-Such as the accuracy of frequency measurement based on the principle of design, such as precision frequency meter, test results are accurate to 0.01HZ.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-12
    • 文件大小:287744
    • 提供者:MAZEMIN
  1. 745221frequency

    0下载:
  2. 用Verilog HDL / VHDL实现的数字频率计(完整实验报告)-Using Verilog HDL/VHDL realization of digital frequency meter (complete test report)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:145408
    • 提供者:倪亮
  1. zhengwen

    0下载:
  2. 等精度频率计的毕业设计论文 是通过学校严格审查通过的Such as the accuracy of the frequency of graduate design thesis-Such as the accuracy of the frequency of graduate design thesis
  3. 所属分类:软件工程

    • 发布日期:2024-05-12
    • 文件大小:742400
    • 提供者:兰鹏飞
  1. plj

    0下载:
  2. 这是一个基于可编程逻辑器件的程序,用来实现自动转换量程频率计控制器,该程序在可以再仿真器上仿真实现-This is a programmable logic device based on the procedures used to automatically convert the frequency range of the controller, the program can be in the simulation simu
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:176128
    • 提供者:jyb
  1. EDAkechengsheji

    0下载:
  2. 实现6位频率计,防止数据溢出,并对频率进行三分频-Frequency to achieve 6 to prevent data overflow, and one-third of the frequency band
  3. 所属分类:其他小程序

    • 发布日期:2024-05-12
    • 文件大小:207872
    • 提供者:fengin
  1. jianyi

    0下载:
  2. 简易数字频率计--描述了97年电子设计大赛中B题的一些思路和方法。-Simple digital frequency meter- 97 describes the Electronic Design Competition B title in a number of ideas and methods.
  3. 所属分类:软件工程

    • 发布日期:2024-05-12
    • 文件大小:356352
    • 提供者:刁亚宁
  1. dengjingdu

    1下载:
  2. 根据第三届(1997年)全国大学生电子设计竞赛题目:简易数字频率计,完全用FPGA芯片做的一个等精度数字频率计。-According to the third (1997) National Undergraduate Electronic Design Contest Topic: simple digital frequency meter, complete with a FPGA chip, such as doing prec
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:3051520
    • 提供者:song

源码中国 www.ymcn.org