搜索资源列表

  1. qicheweidengkongzhi

    1下载:
  2. 汽车尾灯控制系统 汽车尾灯控制器的VHDL程序实现 -car taillight control system controller car taillight VHDL program
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-13
    • 文件大小:2048
    • 提供者:张文
  1. weideng

    0下载:
  2. 汽车尾灯控制器,这是我第一次上在,没什么经验,以后努力-car taillight controller, this is my first time in, no experience, after efforts
  3. 所属分类:软件工程

    • 发布日期:2024-05-13
    • 文件大小:3072
    • 提供者:cuiyanzhe
  1. carslight

    0下载:
  2. 输入信号:左转弯传感器LH,右转弯传感器RH和紧急制动或慢行传感器JMH,另外,汽车尾灯主要是给后面行使汽车的司机注意。为了使尾灯的光信号更明显,采用亮灭交替的闪烁信号,其闪烁周期为2秒,即尾灯亮1秒,灭1秒,再亮1秒…。在图9-21中设置了一个1秒时钟的输入信号CP。 输出信号:输出共设两个,左面一个尾灯,右面一个尾灯,既左转弯时指示灯LD和右转弯时指示灯RD。-input signal : LH sensor mad
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-13
    • 文件大小:2048
    • 提供者:li
  1. qicheweideng

    0下载:
  2. 汽车尾灯控制系统VHDL编程使用方便操作简单-car taillight VHDL control system easy to use simple programming
  3. 所属分类:软件工程

    • 发布日期:2024-05-13
    • 文件大小:35840
    • 提供者:fsdfs
  1. qicheweideng

    0下载:
  2. 本课题设计一个汽车尾灯的控制电路。 汽车尾部左右两侧各有3个指示灯。当接通左转、右转或时,指示灯按照指定要求闪烁。-This topic to design a car taillights of control circuit. Automobile tail about three lights on each side. When connected to turn left, turn right, or when the
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-13
    • 文件大小:368640
    • 提供者:黄名伟
  1. weideng

    0下载:
  2. 用6个发光管模拟6个汽车尾灯(左右各3个),用4个开关作为汽车控制信号,分别为:左拐、右拐、故障和刹车。 车匀速行驶时,6个汽车尾灯全灭;右拐时,车右边3个尾灯从左至右顺序亮灭;左拐时,车左边3个尾灯从右至左顺序亮灭;故障时车6个尾灯一起明灭闪烁;刹车时,6个尾灯全亮 -With 6 LED simulation six automotive taillights (each about 3), use four switch
  3. 所属分类:其他小程序

    • 发布日期:2024-05-13
    • 文件大小:1024
    • 提供者:李亚马
  1. qq

    0下载:
  2. 实现汽车尾灯各种显示规律的电路 设计比较精妙-To achieve a variety of automotive taillight circuit design shows that the law of comparative exquisite
  3. 所属分类:软件工程

    • 发布日期:2024-05-13
    • 文件大小:47104
    • 提供者:高见
  1. TurnLamp

    0下载:
  2. 汽车尾灯设计,通过检测不同开关的闭合情况来决定尾灯的闪烁情况-Automobile taillight design, by detecting the closed situation of the different switches to determine the flickering taillights
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-13
    • 文件大小:35840
    • 提供者:040842
  1. qicheweideng

    0下载:
  2. VHDL语言编写一个汽车尾灯显示的程序,在maxplusII平台下运行,可以下载到芯片在实验箱上可以看到不同情况下汽车尾灯的不同显示-VHDL language to display a car taillights procedures maxplusII platform running, you can download to the chip in the experimental box can be seen under d
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-13
    • 文件大小:69632
    • 提供者:李磊
  1. light

    1下载:
  2. 利用Altera公司FPGA芯片,设计一个汽车尾灯控制器,实现对汽车尾灯显示状态的控制。 内容、要求: 1、汽车正向行驶,指示灯全灭。 2、右转,右侧三灯循环点亮。 3、左转,左侧三灯循环点亮 4、临时刹车,指示灯同时闪烁。 6故障停车时所有尾灯亮起。 -The use of Altera Corporation FPGA chips, the design of a car taillight cont
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-13
    • 文件大小:258048
    • 提供者:leo
  1. su01

    0下载:
  2. 汽车尾灯控制实现电路图,本人测试了,基本可实现逻辑功能-Automotive taillights control circuit to achieve, I tested, the basic logic functions can be realized
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-13
    • 文件大小:2048
    • 提供者:和润东
  1. bustaillight

    0下载:
  2. 这个是用multisim编写的一个汽车尾灯装置,与网上的尾灯实现功能不一样。是按照111,011,001,000循环控制的设置。-This is prepared using Multisim a car taillights devices, and online taillights do not realize the same function. 111,011,001,000 cycle in accordance with
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-13
    • 文件大小:98304
    • 提供者:韩飞
  1. qicheweideng

    0下载:
  2. 一个汽车尾灯的控制的程序,eda课程设计必备 可以控制左转右转等功能-A car taillight control procedures, eda required curriculum design and other functions can be controlled左转右转
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-13
    • 文件大小:69632
    • 提供者:马君
  1. qicheweideng

    0下载:
  2. 汽车尾灯显示控制电路设计应用举例,给大家提供方便!-Car taillight display control circuit design application, for example, to provide convenient!
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-13
    • 文件大小:156672
    • 提供者:武岩松
  1. aa

    0下载:
  2. 设计一个汽车尾灯控制电路,要求能根据汽车运行情况来控制汽车尾部左右两侧各有三个指示灯(用发光二极管模拟)。 1. 汽车正常运行时指示灯全灭。 2. 右转弯时,右侧3个指示灯按右循环点亮。 3. 左转弯时,左侧3个指示灯按左循环点亮。 4. 临时刹车时所有指示灯同时闪烁。-The design of a car taillight control circuit, according to automotive requi
  3. 所属分类:Windows编程

    • 发布日期:2024-05-13
    • 文件大小:239616
    • 提供者:李丽
  1. car

    0下载:
  2. 利用8255实现模拟汽车尾灯的课程设计,汇编语言版的。-The use of 8255 for analog car taillights of curriculum design, assembly language version.
  3. 所属分类:汇编语言

    • 发布日期:2024-05-13
    • 文件大小:1024
    • 提供者:张伟乐
  1. verilog-counter

    0下载:
  2. 利用Verilog实现的数字钟和汽车尾灯,有闹钟,报时,置数等多种功能-Verilog
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-13
    • 文件大小:2048
    • 提供者:xzd
  1. light

    0下载:
  2. 汽车尾灯控制电路,一共有七个状态,数电设计实验的作业,左转,右转,刹车,倒车,左转刹车,右转刹车,正常行驶。-Automobile taillight control circuit, a total of seven states, several electric design of the experiment operations, turn left, turn right, brake, reverse, turn left
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-13
    • 文件大小:262144
    • 提供者:Stone Lei
  1. ControlWD

    0下载:
  2. 汽车尾灯控制器,用VHDL编写的。包括仿真。是一个完整工程-Car taillight controller, written by VHDL. Including simulation. Is a complete project
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-13
    • 文件大小:103424
    • 提供者:
  1. qicheweideng

    0下载:
  2. 汽车尾灯控制器的设计 设计任务:存在6盏汽车尾灯(汽车尾部左、右各3盏),用两个开关作为转弯和刹车控制信号(一个开关控制右转弯,另一个开关控制左转弯),用一个开关作为汽车车锁开启控制,打开汽车时车灯闪烁3秒钟,才能行驶。 要求:当汽车往前行驶时(此时两个开关都未接通),6盏灯全灭。当汽车转弯时,若右转弯(即右转开关接通),右边的3盏尾灯从左至右顺序亮灭,左边3盏灯全灭;若左转弯(即左转开关接通),左边的3盏尾灯从右至左顺序亮灭,
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-13
    • 文件大小:72704
    • 提供者:potoyb2
« 12 3 4 5 6 »

源码中国 www.ymcn.org