搜索资源列表

  1. qicheweidengkongzhi

    0下载:
  2. 汽车尾灯控制系统 汽车尾灯控制器的VHDL程序实现 -car taillight control system controller car taillight VHDL program
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:2095
    • 提供者:张文
  1. weideng

    0下载:
  2. 汽车尾灯控制器,这是我第一次上在,没什么经验,以后努力-car taillight controller, this is my first time in, no experience, after efforts
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:3100
    • 提供者:cuiyanzhe
  1. light

    0下载:
  2. 利用Altera公司FPGA芯片,设计一个汽车尾灯控制器,实现对汽车尾灯显示状态的控制。 内容、要求: 1、汽车正向行驶,指示灯全灭。 2、右转,右侧三灯循环点亮。 3、左转,左侧三灯循环点亮 4、临时刹车,指示灯同时闪烁。 6故障停车时所有尾灯亮起。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:258300
    • 提供者:leo
  1. qicheweidengkongzhi

    1下载:
  2. 汽车尾灯控制系统 汽车尾灯控制器的VHDL程序实现 -car taillight control system controller car taillight VHDL program
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-13
    • 文件大小:2048
    • 提供者:张文
  1. weideng

    0下载:
  2. 汽车尾灯控制器,这是我第一次上在,没什么经验,以后努力-car taillight controller, this is my first time in, no experience, after efforts
  3. 所属分类:软件工程

    • 发布日期:2024-05-13
    • 文件大小:3072
    • 提供者:cuiyanzhe
  1. light

    1下载:
  2. 利用Altera公司FPGA芯片,设计一个汽车尾灯控制器,实现对汽车尾灯显示状态的控制。 内容、要求: 1、汽车正向行驶,指示灯全灭。 2、右转,右侧三灯循环点亮。 3、左转,左侧三灯循环点亮 4、临时刹车,指示灯同时闪烁。 6故障停车时所有尾灯亮起。 -The use of Altera Corporation FPGA chips, the design of a car taillight cont
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-13
    • 文件大小:258048
    • 提供者:leo
  1. 45666010qicheweideng

    0下载:
  2. 1) 控制器设有左传、右转和刹车3个输入信号: 2) 控制器设有6个输出信号,分别接到汽车左右侧的6个尾灯; 3) 当汽车正常直行时,6个尾灯全灭;当刹车时,6个尾灯全亮; 4) 当汽车左传时,左侧的3个尾灯按照000→001→010→100→000的顺序循环点亮,而右侧的3个尾灯全灭 当汽车右转时,右侧的3个尾灯按照000→100→010→001→000的顺序循环亮点,而左侧的3个尾灯全灭 5) 若汽车在转弯时刹车,
  3. 所属分类:文档资料

    • 发布日期:2024-05-13
    • 文件大小:369664
    • 提供者:刘京伦
  1. qicheweidengsheji

    0下载:
  2. 设计一个汽车尾灯控制器,实现对汽车尾灯显示状态的控制。在汽车尾部左右两侧各有3个指示灯(假定采用发光二极管模拟),根据汽车运行情况,指示灯具有4种不同的显示模式:(1)汽车正向行驶时,左右两侧的指示灯全部处于熄灭状态;(2)汽车右转弯行驶时,右侧的3个指示灯按右循环顺序点亮;(3)汽车左转弯行驶时,左侧3个指示灯按左循环顺序电亮;(4)汽车临时刹车时,左右两侧的指示灯同时处于闪烁状态。-Design a car taillight co
  3. 所属分类:软件工程

    • 发布日期:2024-05-13
    • 文件大小:115712
    • 提供者:lin ming
  1. AutomobiletaillightcircuitPLDrealization

    0下载:
  2. 这个话题主要基于可编程逻辑器件,用硬件描述语言(VHDL)硬件描述语言(VHDL),采用“自顶向下”的设计方法,写一汽车尾灯控制器芯片,并且使用“最大加二世的软件模拟的结果。-This topic is mainly based on programmable logic devices, use VHDL VHDL, adopting "top-down" design methods, write an automobile tai
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-13
    • 文件大小:874496
    • 提供者:吴丹
  1. ControlWD

    0下载:
  2. 汽车尾灯控制器,用VHDL编写的。包括仿真。是一个完整工程-Car taillight controller, written by VHDL. Including simulation. Is a complete project
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-13
    • 文件大小:103424
    • 提供者:
  1. CPLDCARLIGHTSCONTROL

    0下载:
  2. 本课程设计根据计算机中状态机原理,采用CPLD技术设计了简易的汽车尾灯控制器。-This course is designed based on a computer, the state machine is designed cpld technology of the taillights controller.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-13
    • 文件大小:6144
    • 提供者:孙浩
  1. qicheweideng

    0下载:
  2. 汽车尾灯控制器的设计 设计任务:存在6盏汽车尾灯(汽车尾部左、右各3盏),用两个开关作为转弯和刹车控制信号(一个开关控制右转弯,另一个开关控制左转弯),用一个开关作为汽车车锁开启控制,打开汽车时车灯闪烁3秒钟,才能行驶。 要求:当汽车往前行驶时(此时两个开关都未接通),6盏灯全灭。当汽车转弯时,若右转弯(即右转开关接通),右边的3盏尾灯从左至右顺序亮灭,左边3盏灯全灭;若左转弯(即左转开关接通),左边的3盏尾灯从右至左顺序亮灭,
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-13
    • 文件大小:72704
    • 提供者:potoyb2
  1. car_light

    0下载:
  2. 基于VHDL的汽车尾灯控制器,Quartus2,9.0-VHDL-based automotive tail lights controller, Quartus2, 9.0
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-13
    • 文件大小:158720
    • 提供者:刘成
  1. qicheweideng

    0下载:
  2. 这是一个关于汽车尾灯控制器的设计报告,里面详细讲述了如何实验这一设计的方法-This is a report on the design of the taillights controller, which describe in detail how experimental design
  3. 所属分类:编程文档

    • 发布日期:2024-05-13
    • 文件大小:228352
    • 提供者:林子
  1. Design-EDA

    0下载:
  2. EDA汽车尾灯控制器的设计。希望能够帮到大家。-Design EDA taillights controller. Want to be able to help everyone.
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-13
    • 文件大小:482304
    • 提供者:QIQI
  1. Taillights-controller

    0下载:
  2. 汽车尾灯控制器 VHDL语言 两种方案 详细的程序 详细的结果对比-Taillights controller VHDL language two programs detailed procedures detailed results contrast
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-13
    • 文件大小:563200
    • 提供者:张肖
  1. qichengweideng

    0下载:
  2. 运用quartus 软件设计的汽车尾灯控制器,与实际的尾灯效果相同-Use quartus software design taillights controller, the same effect as the actual taillights
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-13
    • 文件大小:147456
    • 提供者:王文韬
  1. automobile-tail-light

    0下载:
  2. 汽车尾灯控制器设计 (1)汽车尾部左右两侧各4盏指示灯。 (2)汽车正常行驶时指示灯都不亮。 (3)汽车右转弯时,右侧的一盏指示灯亮。 (4)汽车左转弯时,左侧的一盏指示灯亮。 (5)汽车刹车时,左右两侧的一盏指示灯同时亮。 (6)汽车在夜间行驶时,左右两侧有指示灯同时一直亮,供照明使用。 系统的输入信号包括:系统时钟信号CLK,汽车左转弯控制信号LEFT,汽车右转弯控制信号RIGHT,刹车信号BRA
  3. 所属分类:其他小程序

    • 发布日期:2024-05-13
    • 文件大小:386048
    • 提供者:suyang
  1. car-control

    0下载:
  2. 一种基于VHDL的汽车尾灯控制器,测试成功-car control
  3. 所属分类:交通/航空行业

    • 发布日期:2024-05-13
    • 文件大小:591872
    • 提供者:ee
  1. keshe

    0下载:
  2. 汽车尾灯简易控制器,有急刹亮灯和左右转向灯闪烁功能.-simple control of light with the car
  3. 所属分类:其他小程序

    • 发布日期:2024-05-13
    • 文件大小:16384
    • 提供者:小曹

源码中国 www.ymcn.org