搜索资源列表

  1. equal_precision_cymometer

    0下载:
  2. 采用等精度测频原理的频率计的程序与仿真,用verilog语言实现,可以仿真综合得到所想时序!
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:3986
    • 提供者:刘彬
  1. cepinxiangwei

    0下载:
  2. 课程设计-测频相位计 很好的,可以实现测频相位功能
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:3809
    • 提供者:洋气
  1. MCU_MeasureFrequency

    0下载:
  2. 单片机测频程序 采用测频法和测周法进行等精度测量频率,测频范围:20Hz到29kHz
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1165
    • 提供者:laochao
  1. testctl

    0下载:
  2. 本程序实现了一个数字频率计。它由一个测频控制信号发生器TESTCTL,8个有时钟的十进制计数器CNT10,一个32位锁存器REG32B组成。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1130
    • 提供者:liushenshen
  1. VHDL

    0下载:
  2. 用VHDL实现数字频率计,1. 时基产生与测频时序控制电路模块2. 待测信号脉冲计数电路模块3.锁存与译码显示控制电路模块4.顶层电路模块.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:13307
    • 提供者:侯治强
  1. danpianjicepinchenxi

    0下载:
  2. 单片机测频汇编程序,以89C51为例,0--255Hz ,T0口输入信号。
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:4387
    • 提供者:森仔
  1. cp

    0下载:
  2. 数字测频器,多信号测频,和标准频率比对 输出采样信号
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:636350
    • 提供者:赵明
  1. 1HZ-10MHZ-FPGA

    0下载:
  2. 等精度测频 FPGA程序,有很高的参考价值
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:229702
    • 提供者:石头
  1. cepinyi

    0下载:
  2. 测频仪自问世以来得到蓬勃发展,目前测频仪的功能正日渐完善。在电路实验中测量频率是一项十分重要的工作,基于计数器的功能设计了一个利用数字电路构成的可用来测量某些电信号频率的仪器,该仪器适合测量频率较高的电信号,具有电路简洁、测试范围广等优点。
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:47616
    • 提供者:qiao qiao
  1. BasedonVHDLdesigndigitalfrequencyof

    0下载:
  2. 本文用VHDL在CPLD器件上实现一种8 b数字频率计测频系统,能够用十进制数码显示被测信号的频率,不仅能够测量正弦波、方波和三角波等信号的频率,而且还能对其他多种物理量进行测量。具有体积小、可靠性高、功耗低的特点。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:224979
    • 提供者:xiaoju
  1. ceping

    0下载:
  2. 可实验0.5KHZ到10KHZ的简单测频,并由数码管显示出来!
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:9217
    • 提供者:王伍
  1. frequency

    0下载:
  2. 这个程序是基于等精度测频原理的频率计,用VHDL语言实现,频率测量测量范围1~9999;用4位带小数点数码管显示其频率,并且具有超量程、欠量程提示功能。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1243027
    • 提供者:yato_logo
  1. frequencycounter

    0下载:
  2. 频率计介绍了用VHDL语言编写的频率计的程序,详细编写了如何测频,如何计数频率。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:6240
    • 提供者:杨华
  1. DURU4

    0下载:
  2. 这是一个等精度的测频程序,高频部分用等精度方法,低频部分用测周法。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1890
    • 提供者:文白
  1. PLJ-1.3

    0下载:
  2. 基于凌阳SPCE061A单片机的多功能测频测相仪
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:26249
    • 提供者:xhb
  1. daolafexiyi

    0下载:
  2. 基于8051F实现导纳分析仪,键盘,液晶,功能都全有,其中包含测频,测相,测高电平,测低电平,测幅.等多种算法思想.用C语言实现.绝对原创!
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:125594
    • 提供者:李林
  1. fre

    1下载:
  2. 基于等精度测频原理,设计的等精度频率计,测试结果很精确,达到0.01HZ。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:287530
    • 提供者:MAZEMIN
  1. 8051PLJ

    1下载:
  2. 利用8051的定时器用来计数作为频率计的基础。测频范围是1--10000HZ
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:45537
    • 提供者:秦汉
  1. work5FREQTEST

    0下载:
  2. 8位十六进制频率计设计 根据频率的定义和频率测量的基本原理,测定信号的频率必须有一个脉宽为1s的输入信号脉冲计数允许信号;1s计数结束后,计数值被锁入锁存器,计数器清零,为下一测频计数周期做好准备。测频控制信号可由一个独立的发生器(FTCTRL)来产生。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:244660
    • 提供者:lkiwood
  1. xie

    0下载:
  2. 这是一个等精度测频得硬件描述语言编写的程序,可以用能做等精度测评
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:7119
    • 提供者:鸣人
« 1 2 3 4 56 7 8 9 10 ... 27 »

源码中国 www.ymcn.org