搜索资源列表

  1. 2812paper

    0下载:
  2. 有关2812的一些文章,包括测频、数字滤波和采样等
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1208512
    • 提供者:宁浩
  1. max1

    0下载:
  2. cpld数据采集测频-cpld Frequency Measurement Data Collection
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-17
    • 文件大小:5120
    • 提供者:和任
  1. plj

    0下载:
  2. 本程序为VHDL编写的频率计,测频范围从0.1Hz到1G-VHDL procedures for the preparation of the frequency meter, measuring frequency range from 0.1Hz to 1G
  3. 所属分类:Windows编程

    • 发布日期:2024-05-17
    • 文件大小:7168
    • 提供者:tmx
  1. CePQ

    0下载:
  2. 测频器,用VHDL语言编写。新手学习作品,还有好多不完善的地方,全当交流,也希望能下载本站原码学习。-frequency measurement device using VHDL language. Rookie learning works, there are a lot of imperfections, when the whole exchange, and hope they can download the origin
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:427008
    • 提供者:屈峥
  1. FTCTRL

    0下载:
  2. 四位十进制频率计的顶层控制模块,用于生成测频需要的复位及控制信号-four decimal frequency of top-level control modules, used to generate the required frequency measurement and control signals reset
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:萧飒
  1. FPGA_27eg

    0下载:
  2. FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调
  3. 所属分类:文件格式

    • 发布日期:2024-05-17
    • 文件大小:1278976
    • 提供者:
  1. pljfpja

    0下载:
  2. 频率计的fpja部分程序,,,用高精度测频法实现。。。能测1、、1M-frequency of fpja some of the procedures, and using high precision frequency measurement method to achieve. . . Can be measured one, and 1M
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:shjy
  1. jieshu_ce_pin

    0下载:
  2. 通过计算不了1S内下降沿个数,达到测频目的.-not calculated the number of the down-1S within reach frequency measurement purposes.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:江方洪
  1. TMS320F2812_eva

    0下载:
  2. 基于2812的一个测频程序 对于新手应该帮助不小 -a frequency measurement procedures for the newcomers should help small
  3. 所属分类:软件工程

    • 发布日期:2024-05-17
    • 文件大小:9216
    • 提供者:yepeng1986
  1. DJDPLJ_T

    0下载:
  2. 本VHDL源代码由顶层模块、测频模块、驱动模块、计算模块、LCD显示模块、复位模块组成,能精确检测从1--100M频率,误差极小且恒定。-the VHDL source code from the top module, measuring frequency module, driver modules, modules, LCD display module, reduction modules, can be used to ac
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:481280
    • 提供者:刘刚
  1. Fre_labview

    0下载:
  2. LabView平台下的频率计算显示程序,通过串口接收下位机的数据,并进行测频过程的控制,完成计算和显示。-LabView platform frequency calculations show procedures, through the serial port to receive the next bit plane data, and frequency measurement process control, complet
  3. 所属分类:其他小程序

    • 发布日期:2024-05-17
    • 文件大小:598016
    • 提供者:蔡有才
  1. 200781

    0下载:
  2. 数字示波器上的测频电路原理图,已经经过验证可行。-Digital Oscilloscope on the frequency measurement circuit schematics, has been proven feasible.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-17
    • 文件大小:26624
    • 提供者:lyang
  1. FPGA--DDS-PhaseMeasure

    0下载:
  2. Verilog实现的DDS正弦信号发生器和测频测相模块,DDS模块可产生两路频率和相位差均可预置调整的值正弦波,频率范围为20Hz-5MHz,相位范围为0°-359°,测量的数据通过引脚传输给单片机,单片机进行计算和显示。-Verilog realize the DDS sine wave signal generator and frequency measurement module test phase, DDS module c
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:1371136
    • 提供者:haoren
  1. cepin

    0下载:
  2. 用单片控制来测量外部的脉冲个数, 测频范围----1hz--65khz-Control with single-chip to measure the number of external pulse, measuring frequency range---- 1hz- 65khz
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:张寒枫
  1. cepinxiangwei

    0下载:
  2. 课程设计-测频相位计 很好的,可以实现测频相位功能-Curriculum design- frequency measurement phase of a very good, you can realize the phase function of frequency measurement
  3. 所属分类:教育/学校应用

    • 发布日期:2024-05-17
    • 文件大小:3072
    • 提供者:洋气
  1. testctl

    0下载:
  2. 本程序实现了一个数字频率计。它由一个测频控制信号发生器TESTCTL,8个有时钟的十进制计数器CNT10,一个32位锁存器REG32B组成。-This procedure implements a digital frequency meter. It consists of a frequency control signal generator TESTCTL, 8 which have the metric system cloc
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:liushenshen
  1. VHDL

    0下载:
  2. 用VHDL实现数字频率计,1. 时基产生与测频时序控制电路模块2. 待测信号脉冲计数电路模块3.锁存与译码显示控制电路模块4.顶层电路模块. -Using VHDL digital frequency meter, 1. Time-base generation and frequency measurement timing control circuit module 2. Analyte signal pulse counti
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:13312
    • 提供者:侯治强
  1. cp

    0下载:
  2. 数字测频器,多信号测频,和标准频率比对 输出采样信号-Digital measuring frequency, multi-frequency signal, and the standard frequency signal than the output sampling
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:635904
    • 提供者:赵明
  1. cepinyi

    0下载:
  2. 测频仪自问世以来得到蓬勃发展,目前测频仪的功能正日渐完善。在电路实验中测量频率是一项十分重要的工作,基于计数器的功能设计了一个利用数字电路构成的可用来测量某些电信号频率的仪器,该仪器适合测量频率较高的电信号,具有电路简洁、测试范围广等优点。-Frequency measurement instrument since its inception has been thriving, the current frequency measu
  3. 所属分类:汇编语言

    • 发布日期:2024-05-17
    • 文件大小:47104
    • 提供者:
  1. BasedonVHDLdesigndigitalfrequencyof

    0下载:
  2. 本文用VHDL在CPLD器件上实现一种8 b数字频率计测频系统,能够用十进制数码显示被测信号的频率,不仅能够测量正弦波、方波和三角波等信号的频率,而且还能对其他多种物理量进行测量。具有体积小、可靠性高、功耗低的特点。-In this paper, VHDL in the CPLD device to achieve a 8 b digital frequency meter measuring frequency system that
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:224256
    • 提供者:xiaoju
« 1 2 3 4 5 67 8 9 10 11 ... 27 »

源码中国 www.ymcn.org