搜索资源列表

  1. 消抖通用函数XIAOPRO:

    0下载:
  2. EDA中很重要的小程序,保证按键可靠性,防止抖动误差信号产生,外部信号输入时必用此消抖函数-EDA very important small procedures to ensure that key reliability and prevent jitter error signal generated, the external input signal must use this function Consumers shive
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:2809
    • 提供者:李培
  1. 矩阵按键消抖

    0下载:
  2. 此程序对于初学者学习矩阵键盘非常有帮助,尤其是消抖这一块的处理很有参考价值。。。
  3. 所属分类:Internet/网络编程

    • 发布日期:2009-10-27
    • 文件大小:767
    • 提供者:cshx1986
  1. 消抖通用函数XIAOPRO:

    0下载:
  2. EDA中很重要的小程序,保证按键可靠性,防止抖动误差信号产生,外部信号输入时必用此消抖函数-EDA very important small procedures to ensure that key reliability and prevent jitter error signal generated, the external input signal must use this function Consumers shive
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:2048
    • 提供者:李培
  1. FPGAprogram1

    0下载:
  2. 常用键盘消抖模块——VHDL源程序!!!对vhdl编程的人具有很大的帮助,不可不看 -common keyboard Consumers shaking module-- VHDL source! ! ! Right VHDL programming of great help, I can not s
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:2048
    • 提供者:许嘉
  1. xiaodou

    0下载:
  2. 这是一个键盘的消抖电路的代码 有兴趣的可以看看 我做了很长时间的-This is a keyboard circuit code elimination Buffeting interested can look at me to do a long time
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:1024
    • 提供者:chen
  1. key_scan

    0下载:
  2. verilog 键盘扫描,数码管显示程序,没有加消抖-verilog keyboard scanning, digital tube display program, there is no increase in consumer Buffeting
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:1024
    • 提供者:robin
  1. Buffeting_key_experimental_extinction

    0下载:
  2. BJ-EPM240V2实验例程以及说明文档实验之二按键消抖实验-BJ-EPM240V2 experimental test routines as well as documentation of the second button Buffeting extinction experiments
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-16
    • 文件大小:352256
    • 提供者:王建毅
  1. anjianxiaodou

    0下载:
  2. 基于verilog的按键消抖程序设计,包括整个工程文件-Based on the key consumer Buffeting verilog program design, including the entire project file
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:157696
    • 提供者:lvlv
  1. debounce

    0下载:
  2. 按键消抖程序,用Verilog硬件描述语言编写,实现了按键消抖动作-Buffeting eliminate key procedures, using Verilog hardware descr iption language, the realization of the keys for jitter elimination
  3. 所属分类:中间件编程

    • 发布日期:2024-05-16
    • 文件大小:121856
    • 提供者:liujiani
  1. key44

    0下载:
  2. fpga_4X4矩阵键盘程序,有消抖,下载后可直接使用,Q2中综合已通过-Matrix keyboard fpga_4X4 procedures Buffeting extinction, can be used directly after downloading, Q2 integrated through
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:364544
    • 提供者:LiuYuan
  1. key_8

    0下载:
  2. FPGA单排键盘程序—已消抖,下载后可直接使用,Q2中综合已通过,基于cyclone-FPGA single-row keyboard program- has been shaking extinction, can be used directly after downloading, Q2 integrated through
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:232448
    • 提供者:LiuYuan
  1. key

    0下载:
  2. cyclone系列下,采用计数器现实案件消抖的verilog HDL语言源码-series under the cyclone, the consumer cases Buffeting counter the reality of the verilog HDL language source code! !
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:382976
    • 提供者:wang
  1. key_xiaodou

    0下载:
  2. 该资料是用vhdl编写的按键消抖程序,按键消抖在使用按键的数字电路中非常重要,如果不对按键信号进行处理,有可能会出现大量错误的按键信号。文件key_xd.vhd是按键消抖程序,文件key_xd.vwf是仿真波形文件。该程序已经通过仿真测试,并且在电路板上调试通过,效果理想。-The information is written in the key consumer vhdl shaking procedures, key consum
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:252928
    • 提供者:lwj
  1. key

    0下载:
  2. 用verilog写的按键消抖程序,经过验证的·····环境是ISE-Written with key debounce verilog program, proven environment is ISE
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:343040
    • 提供者:汉江之源
  1. sw_debounce

    0下载:
  2. 这是按键消抖试验,具有很好的可移植性。在EPM240开发板上验证过的-This is the key debounce test, with good portability. In the development board verified EPM240
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:1024
    • 提供者:吴敬飞
  1. xiaodou

    0下载:
  2. 主要用于4x4键盘输入消抖,消抖作为键盘操作的主要考虑因素,因此在Verilog消抖具有重要的作用。-Used 4x4 keyboard debounce, debounced keyboard operation as a major consideration, so shaking in Verilog consumer has an important role.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:1024
    • 提供者:changlingku
  1. Wendukongzhi_temp_control

    0下载:
  2. 1. 采用三个测温点对温度进行平均及最大温差控制,以消除温度失恒,模块损坏所造成的损失。 2. DS18B20测温芯片温度数值采集 3. 可实现按键消抖,单击,双击,长击,组合击多种功能 4. 可实现6位LED数码管对温度,错误代码等各种指示功能显示 -1 using three temperature measurement points on the temperature and the average and m
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-16
    • 文件大小:97280
    • 提供者:曾伟
  1. 2_key

    0下载:
  2. 利用两个相差一个时钟周期的寄存器进行&~运算,进行下降沿的检测。可用于按键消抖等。(Two regs are used to detect xiajaingyan with &~, and it can be used to switch debounce)
  3. 所属分类:VHDL编程

  1. 至简设计法--按键消抖

    0下载:
  2. 按键消抖 工程说明 在系统设计中,消除按键抖动的方法五花八门,无论是硬件电路和软件设计都十分成熟。在本项目中,我们将用Verilog语言给出具体实现过程,设计一个程序来检查键值,有效滤除按键抖动区间20 ms的毛刺脉冲。 案例补充说明 在本案例中,我们使用Verilog HDL语言对按键消抖进行了设计,在这个过程中,我们可以了解到不同触发器有不同的工作原理和约束条件,即便是简单的一个按键功能,也有不可忽视的抖动过滤程序,这些都是在以后
  3. 所属分类:其他小程序

  1. 按键消抖

    0下载:
  2. 按键消抖比较实用的代码 对于入门的同学很实用(A more practical code with a button.)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:2048
    • 提供者:小果灬果
« 12 3 4 5 6 7 8 9 10 ... 17 »

源码中国 www.ymcn.org