搜索资源列表

  1. gprs

    0下载:
  2. 用于进行GPRS通讯的服务器端程序可以将接收到得数据送入数据库,里面包含了服务器程序,还有一个用VC模拟的客户端程序以及一个数据库文件,虽然很简单但毕竟是自己写的,通过调试了,能够实现与GPRS模块的通讯-GPRS communications used for server-side program can receive data into the database, which contains a server program,
  3. 所属分类:Internet/网络编程

    • 发布日期:2024-05-19
    • 文件大小:81920
    • 提供者:nova
  1. tc

    0下载:
  2. avr单片机最好的入门程序,avr的定时器计数器,已经调试通过,最简单的定时器计数器程序,非常好的入门程序-avr entry procedures for the best single-chip, avr timer counters, has been through the debugging, the simplest procedure timer counters, very good induction procedur
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:11264
    • 提供者:york
  1. kernel_debugging_tutorial

    0下载:
  2. kernel_debugging_tutorial 使用WinDbg内核调试,本文的重点是集成内核模式和用户态模式的图形化调试器WinDbg。KD在脚本和自动化调试中更有用,并且在资深程序员中拥有一定地位,但是本教程将集中讨论WinDbg,只会偶尔提到KD-kernel_debugging_tutorial use WinDbg kernel debugging, this article focuses on integratio
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:462848
    • 提供者:留得话
  1. ysh

    0下载:
  2. 本实验要实现一个简单的命令解释器,也就是Linux中的shell程序。实验程序起名为ysh,要求其设计类似于目前流行的shell解释程序,如bash、csh、tcsh,但不需要具备那么复杂的功能。ysh程序应当具有如下一些重要的特征:  能够执行外部程序命令,命令可以带参数。 . 。  能够执行fg、bg、cd、history、exit等内部命令。  使用管道和输入输出重定向。
  3. 所属分类:Linux/Unix编程

    • 发布日期:2024-05-19
    • 文件大小:8192
    • 提供者:香烟
  1. Videoplayer

    0下载:
  2. 本程序是一个基于MFC编写的WINDOS Media万能播放器程序,能够在一定程度上拥有暴风影音的一般功能,即能播放视频、音频,能够快进等.其界面与传统的暴风影音具有一定的相似度.本代码已经在VC6.0环境下调试运行成功.-This procedure is an MFC-based prepared WINDOS Media player universal procedures, to a certain extent, have
  3. 所属分类:界面编程

    • 发布日期:2024-05-19
    • 文件大小:735232
    • 提供者:
  1. Inverter_SPWM

    0下载:
  2. 上海交通大学电气工程系DSP课程设计2008年最佳学生作品,该程序为基于Microchip DSPIC30F4011芯片的恒压频比SPWM开环变频器程序,使用按键及液晶作为人机界面,已在自制100W平台测试通过。开发使用MPLAB 8.00,调试使用ICD2。-Electrical Engineering Department of Shanghai Jiaotong University DSP curriculum design t
  3. 所属分类:DSP编程

    • 发布日期:2024-05-19
    • 文件大小:109568
    • 提供者:唐聪
  1. Classic_Software_Collection

    0下载:
  2. 经典程序收集 1.异步通信软件模拟 2.基于RS-485的多机通信 3.I2C总线协议的软件实现 4.SPI总线在单片机系统中的实现 5.单总线技术 6.FLASH存储器K9F6408U0A的控制 7.IC卡程序 8.PS2键盘的设计 9.矩阵式键盘的锁定 10.利用HT16XX实现段式液晶显示 11.利用SED1520实现点阵显示 12.利用HD66421实现灰度字符显示 13.CR
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:421888
    • 提供者:唐进
  1. codeblocks-8[1].02mingw-setup

    0下载:
  2. Code::Blocks,有时也简单打成“CodeBlocks”或者“C::B”,是一款全新的C++集成设计环境(IDE)。所集成了哪些功能主要包括:代码编辑器、代码编译器、代码链接器、代码调试器。总之,就是你使用用它,从编写C++代码、到最终生成一个可运行的程序的完整过程,都仅仅需要这款软件就够了-codeblocks
  3. 所属分类:编译器/词法分析

    • 发布日期:2024-05-19
    • 文件大小:20248576
    • 提供者:yanfeng
  1. new

    0下载:
  2. 这是小弟学习arm的第一个程序,就是跑马灯加上温度显示,配和axd调试器和超级终端可是玩的很顺畅-This is a boy learning arm of the first procedure, that is, together with the temperature display Marquee with and axd debugger and HyperTerminal to play but very smooth
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-19
    • 文件大小:95232
    • 提供者:林建
  1. 2812adc_eva

    0下载:
  2. 本人在调试步进电机项目中用来调试2812片内adc的代码,eva启动,定时器1周期中断,包含寄存器初始化和中断服务程序代码,两个通道采样,可以正常进入中断并完成采样,花了1周时间调通的-Stepper motor I debug the project in 2812 for debugging adc code, eva start a cycle timer interrupt, including the register ini
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-19
    • 文件大小:270336
    • 提供者:常迪
  1. gdb

    0下载:
  2. 将g l i b函数库、G t k +构件库、G n o m e库和G C C编译器结合起来可以用来开发非常复杂的应 用程序,足以满足绝大多数的商业应用。但是这些还不足以成为一个完整的开发平台。还需 要一个高效的调试器,特别是对较大型的应用程序,这一点更为重要。-Linux 包含了一个叫g d b的G N U调试程序。gdb 可以用来调试使C、C++以及M o d u l a-2语 言开发的程序
  3. 所属分类:Linux/Unix编程

    • 发布日期:2024-05-19
    • 文件大小:348160
    • 提供者:李智军
  1. Timer00000Interrupt

    0下载:
  2. C8051F120的定时器及外部中断使用C程序,包括各定时的8/16重载,捕捉,高速切换等。经片上调试通过,放心下载使用-C8051F120 timer and external interrupts use the C program, including the timing of the 8/16 overload, capture, high-speed switching. The on-chip debugging thro
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:104448
    • 提供者:xiaot
  1. bijiaoqi

    0下载:
  2. 用Verilog编写的四位比较器,程序调试运行没有任何问题。-Written using Verilog four comparators, program debugging run without any problem.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:122880
    • 提供者:韩瑞
  1. linuxdrivers_and_test

    0下载:
  2. drivers_and_test目录 里面主要是测试程序,比如LED驱动、按键驱动、LCD驱动的测试程序。 其中的regeditor子目录对应的是一个调试工具(可以利用物理地址读写寄存器),它会用 到内核中的一个驱动程序drivers/char/ker_rw.c。-linux command
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:69632
    • 提供者:marenpeng
  1. Disassembly_Tutorial

    0下载:
  2. 这本书是专门为黑客基本的分析程序使用调试器和汇编器的方法。这是有问题的巨大兴趣,但在现实中,很少有对谁掌握了专业水平,这些方法的程序员。-This book is dedicated to the basics of hacking—methods of analyzing programs using a debugger and disassembler. There is huge interest in this topic,
  3. 所属分类:操作系统开发

    • 发布日期:2024-05-19
    • 文件大小:4947968
    • 提供者:li dabao
  1. speaker

    0下载:
  2. 蜂鸣器在单片机上的应用,汇编语言,附原理图及说明学习文档 蜂鸣器的正极性的一端联接到5V电源上面,另一端联接到三极管的集电极,三极管的基级由单片机的P2.4管脚通过一个与非门来控制, 用户可以通过程序控制P2.4管脚的置低和置高来使蜂鸣器发出声音和关闭。 蜂鸣器的声音大小及音调可以通过调整P2.4管脚的置高时间及输出的波形进行控制,这一点可以在调试程序的时候来试验。-On the buzzer in single-chip applic
  3. 所属分类:汇编语言

    • 发布日期:2024-05-19
    • 文件大小:186368
    • 提供者:wang
  1. 2009062611232389458

    0下载:
  2. 对于需要编写并口通讯程序的人员来说,可能会需要一款方便的并口调试工具,但这样的 调试工具 目前网络上好像还没有(至少还没有免费的:)),串口调试器倒有很多(包括我曾经写过的“串口调试 器 2002”),因此我花了点时间写了这款支持各类Windows平台的并口调试工具包,希望能对你编程 及开发带来方便。 -For the need to write the parallel port communication progr
  3. 所属分类:并口编程

    • 发布日期:2024-05-19
    • 文件大小:433152
    • 提供者:王科
  1. Linux-gdb

    0下载:
  2. GDB是GNU开源组织发布的一个强大的UNIX下的程序调试工具。或许,各位比较喜欢那种图形界面方式的,像VC、BCB等IDE的调试,但如果你是在 UNIX平台下做软件,你会发现GDB这个调试工具有比VC、BCB的图形化调试器更强大的功能。所谓“寸有所长,尺有所短”就是这个道理。-GDB is the GNU open-source organizations, released under a powerful debugging to
  3. 所属分类:嵌入式Linux

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:王振
  1. PL.SQL.Develope

    0下载:
  2. PL/SQLDeveloper是一种集成的开发环境,专门用于开发、测试、调试和优化OraclePL/SQL存储程序单元,比如触发器等。PL/SQLDeveloper功能十分全面,大大缩短了程序员的开发周期。强大的PL/SQL编辑器,完善的Debugger调试器(需要Oracle7.3.4或以上版本)询问创建\SQL视窗\命令视窗\报告视窗\项目\浏览器\过程优化\HTML手册\Non-PL/SQL目标\模板目录\比较用户目标\输出用户目
  3. 所属分类:Oracle数据库

    • 发布日期:2024-05-19
    • 文件大小:18285568
    • 提供者:刘海滨
  1. ac97_lab

    0下载:
  2. 播放声音的嵌入式程序,ADS调试器,ICE仿真器环境。-Play a sound of embedded programs, ADS debugger, ICE emulator environment.
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-19
    • 文件大小:279552
    • 提供者:qiujia
« 1 2 3 4 5 6 78 9 10 11 12 ... 42 »

源码中国 www.ymcn.org