搜索资源列表

  1. dengjindupl

    0下载:
  2. 等精度频率计,包含LCD1602液晶显示驱动-Such as precision frequency meter, including LCD1602 LCD Driver
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-12
    • 文件大小:76800
    • 提供者:edjj
  1. plj

    0下载:
  2. [frequent.rar] - 等精度频率计的设计,已经在实验箱上运行的。 -[frequent.rar]- such as the frequency accuracy of the design, the experiment has been running on me.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:16384
    • 提供者:luoliwen
  1. youname

    0下载:
  2. 用QUARTUS编译通过的等精度频率计,我错误,但有几个警告(不影响设计)。我的毕业设计啊!!! -Quartus compiler passed with precision frequency meter, etc., I am wrong, but there are several warning (excluding the impact of design). My graduation project ah! ! !
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:2048
    • 提供者:luoliwen
  1. S51+CPLD

    0下载:
  2. 基于等精度测量原理的频率计,AT89S52和CPLD,有详细注释。测量准确。-Such as precision measurement principle based on the frequency meter, AT89S52 and CPLD, has detailed notes. Measurement accuracy.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-12
    • 文件大小:284672
    • 提供者:MAZEMIN
  1. frequency

    0下载:
  2. 这个程序是基于等精度测频原理的频率计,用VHDL语言实现,频率测量测量范围1~9999;用4位带小数点数码管显示其频率,并且具有超量程、欠量程提示功能。-This procedure is based on the principle of frequency measurement accuracy, such as the frequency meter, using VHDL language, frequency measure
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:1243136
    • 提供者:yato_logo
  1. DURU4

    0下载:
  2. 这是一个等精度的测频程序,高频部分用等精度方法,低频部分用测周法。-液 染 鹊 牟频 颍
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:2048
    • 提供者:文白
  1. DDS_Project

    0下载:
  2. 频率计 等精度频率计 可以用于频率测试的等精度频率计 可用的-Such as precision frequency meter frequency meter can be used for the frequency of tests, such as precision frequency meter available
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-12
    • 文件大小:674816
    • 提供者:wangzhaohui
  1. fre

    0下载:
  2. 基于等精度测频原理,设计的等精度频率计,测试结果很精确,达到0.01HZ。-Such as the accuracy of frequency measurement based on the principle of design, such as precision frequency meter, test results are accurate to 0.01HZ.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-12
    • 文件大小:287744
    • 提供者:MAZEMIN
  1. plj

    0下载:
  2. 等精度数字频率计 的一个工程---包括vhdl源程序和编译后产生的相关文件-Such as precision digital frequency of a project- including VHDL source code and compile the relevant documents after
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:1838080
    • 提供者:吴晨光
  1. cpld11245

    0下载:
  2. 主要介绍了等精度频率测量原理,该原理具有在整个测试频段内保持高精度频率 测量的优点 同时在该原理基础上,采用了Verilog HDL语言设计了高速的等精度测频 模块,并且利用EDA开发平台QUARTUS11 3 .0对CPLD芯片进行写人,实现了计数等 主要逻辑功能 还使用C语言设计了该等精度频率计的主控程序以提高测量精度。本设 计实现了对频率变化范围较大的信号进行频率测量,能够满足高速度、高精度的测频要 求。-In
  3. 所属分类:其他小程序

    • 发布日期:2024-05-12
    • 文件大小:320512
    • 提供者:zhengwei
  1. fangzhen

    0下载:
  2. vhdl代码: 采用等精度测频原理的频率计程序与仿真!初学fpga者可以参考参考!!比较简单-VHDL code: Using the principle of frequency measurement accuracy, such as the frequency of procedures and simulation! FPGA beginner who can refer to reference! ! Relatively
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:122880
    • 提供者:daxiadian2
  1. frequence_VHDL

    0下载:
  2. 采用等精度测频原理的频率计的程序与仿真 --文件名:PLJ.vhd。 --功能:4位显示的等精度频率计。 -Such as the accuracy of frequency measurement using the principle of the frequency of the procedure and simulation- the file name: PLJ.vhd.- Function: 4 shows,
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:122880
    • 提供者:huangsong
  1. plj

    0下载:
  2. 等精度频率计的设计AT89C51,用单片机做的频率计,不错。-Such as the design of precision frequency meter AT89C51, to do with the frequency of single-chip, yes.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-12
    • 文件大小:76800
    • 提供者:conghaisheng
  1. zhengwen

    0下载:
  2. 等精度频率计的毕业设计论文 是通过学校严格审查通过的Such as the accuracy of the frequency of graduate design thesis-Such as the accuracy of the frequency of graduate design thesis
  3. 所属分类:软件工程

    • 发布日期:2024-05-12
    • 文件大小:742400
    • 提供者:兰鹏飞
  1. frenquenter

    0下载:
  2. 等精度频率计设计与文档,有源码,doc格式-Precision frequency meter, etc. The design and documentation, has source code, doc format
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:5120
    • 提供者:ltlt
  1. dengjingducepin

    0下载:
  2. 等精度测频 FPGA程序,能实现很好的精度,最高大1HZ-FPGA, such as precision frequency measurement procedure, can achieve very good accuracy, the highest large 1Hz
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:233472
    • 提供者:唐光敏
  1. precisionfrequencysource

    0下载:
  2. 等精度频率计 利用51单片机实现 有源码以及执行文件-Such as the use of precision frequency source have 51 single-chip implementation and the implementation of document
  3. 所属分类:汇编语言

    • 发布日期:2024-05-12
    • 文件大小:226304
    • 提供者:邵鹏
  1. Frequencymeasurement

    0下载:
  2. 采用等精度测频原理的频率计程序与仿真,需要的朋友可以下栽看看.-Frequency measurement using the principle of accuracy, such as the frequency of procedures and simulation, the need to take a look at the下栽friends.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:122880
    • 提供者:
  1. EDA-basedtechnologiessuchasprecisionmulti-function

    0下载:
  2. 在对三种测频方法进行分析的基础上,介绍了基于EDA技术的等精度测频原理。给出采用AT89C51实现控制并通过FPGA来设计多功能等精度数字频率计的具体方法。该频率计可以兼顾频率计对速度、资源和测频精度等各方面的优化需求。-Frequency of three methods of analysis based on EDA technology based on the principle of frequency measuremen
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:136192
    • 提供者:何蓓
  1. efcount

    0下载:
  2. 完整的等精度频率相位计,包含了项目文件、VHDL源代码、RTL电路图-Such as the complete phase of the frequency accuracy, including the project document, VHDL source code, RTL circuit
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-12
    • 文件大小:366592
    • 提供者:xiexuan
« 1 23 4 5 6 7 8 9 10 ... 46 »

源码中国 www.ymcn.org