搜索资源列表

  1. dianzizhong

    0下载:
  2. 这是我在学习过程中编的数字钟的原程序,含各种时钟模块,以及计数器,累加器等,可以直接下载,已经编译通过!-This is my learning process in the middle of the 10-minute program, containing various clock module and the counter, accumulator, and can download, compile!
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:550912
    • 提供者:刘恒辉
  1. 98632

    0下载:
  2. GAL设计的累加器,译码器的原代码。已经测试成功,并且生成可烧写的JED文件!-GAL design accumulator, the decoder the original code. Has been tested successfully, and can generate the JED document burning!
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-16
    • 文件大小:45056
    • 提供者:帅冲
  1. dds

    0下载:
  2. FPGA实现直接数字信号源.一个相位累加器的设计-FPGA realization of direct digital signal source. A phase accumulator design
  3. 所属分类:其他小程序

    • 发布日期:2024-05-16
    • 文件大小:5120
    • 提供者:马彩青
  1. 08_VHDL_simulation2

    0下载:
  2. 台湾人梁奕智写的VHDL编程学习的PPT讲义,里面包括内容有D触发器、寄存器、累加器、计数器、有限状态机等非常有用的内容。-Taiwanese Liang-chi written in VHDL programming learning PPT lectures, which include the contents of D flip-flops, registers, accumulators, counters, finite s
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:690176
    • 提供者:WeimuMa
  1. 100vhdl

    0下载:
  2. 100个VHDL程序,关于基本的模块,有累加器等-100 VHDL procedures, on the basic module, accumulator, etc. have
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:236544
    • 提供者:
  1. dds

    0下载:
  2. 累加器的描述,已经通过实验成功,可以用于波形发生器中-Accumulator descr iption has been successful through experiments, can be used in the waveform generator
  3. 所属分类:其他小程序

    • 发布日期:2024-05-16
    • 文件大小:2048
    • 提供者:刘明明
  1. accumulator

    0下载:
  2. 实现累加器的verilog源码,广泛应用在通信电路设计中-The realization of accumulator Verilog source, widely used in communication circuit design
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:1024
    • 提供者:文明
  1. leijiaqi

    0下载:
  2. 累加器,一个加法器和一个寄存器构成的累加器,其用途是用于DDS技术的相位累加器 -ACC
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:17408
    • 提供者:陈兴文
  1. CPU

    0下载:
  2. cpu累加器。主要用于在RISC_CPU设计中的累加器module中,同时还包含cpu的其他模块-cpu accumalation
  3. 所属分类:系统编程

    • 发布日期:2024-05-16
    • 文件大小:113664
    • 提供者:majiajun
  1. 6

    0下载:
  2. 研制一台性能如下的实验计算机。 (1) 具有键盘和打印机两种外部设备。 (2) 外设和内存统一操作指令,程序查询法使用外设。 (3) 运算器采用单累加器多通用寄存器结构。 (4) 操作数寻址方式有: 直接地址寻址 立即数寻址 寄存器直接寻址 寄存器间接寻址 (5) 指令系统至少含有以下指令: -Development of the performance of a computer expe
  3. 所属分类:打印编程

    • 发布日期:2024-05-16
    • 文件大小:67584
    • 提供者:穆鹏
  1. addstruncate

    0下载:
  2. 乘-累加电路 包含函数检测累加器溢出后造成错误-X- accumulation accumulator circuit includes overflow detection function resulting from an error
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-16
    • 文件大小:1024
    • 提供者:赵珑
  1. DDS

    0下载:
  2. 自己在Quartus下用VHDL编写的一个DDS程序。包括寄存器,累加器,波形存储器-In Quartus using VHDL procedures for the preparation of a DDS. Including the register, accumulator, waveform memory
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:351232
    • 提供者:ice
  1. dds_easy

    0下载:
  2. 直接频率合成DDS模块的ise工程,可以直接下载,在Spartan3/Spartan3E上验证通过。该DDS模块可以产生双通道的不同频率的正弦波,也可以产生同频的任意相位差的相移波形。本模块累加器位数为32位,可以产生12位相位精度12位量化精度的正弦波。该设计例化一个Block Ram,为节省储存空间仅需要储存1/4周期的数据。根据需要,可以重新修改数据,改变波形。-DDS direct frequency synthesizer m
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:471040
    • 提供者:郭先生
  1. mips

    0下载:
  2. 实现了一个简单的微处理器的功能,l里面有累加器,加法器,寄存器-adgfdhgjjj jdhjhgdkhgjhgjhgkjhgkgkh
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:1062912
    • 提供者:leixueyan
  1. leijia

    0下载:
  2. 二进制累加器:实现多个二进制数累加,有复位,按键防反弹等功能(每按一次作一次累加,累加数由若干位开关表示,结果由若干位LED输出。-Binary accumulator: accumulation of multiple binary number, there are reset, key features such as anti-bounce (each time I press to make a cumulative, acc
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:390144
    • 提供者:dujcel
  1. multiplier-accumulator(vhdl)

    0下载:
  2. 用VHDL语言描述和实现乘法累加器设计,4位的被乘数X和4位的乘数Y输入后,暂存在寄存器4位的寄存器A和B中,寄存器A和B的输出首先相乘,得到8位乘积,该乘积再与8位寄存器C的输出相加,相加结果保存在寄存器C中。寄存器C的输出也是系统输出Z。(原创,里面有乘法部分和累加部分可以单独提出来,很好用) -With the VHDL language to describe the design and realization of mu
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:967680
    • 提供者:jlz
  1. DDS1

    0下载:
  2. 直接数字频率合成器(Direct Digital synthesizer)是从相位概念出发直接合成所需波形的一种频率合成技术。一个直接数字频率合成器由相位累加器、加法器、波形存储ROM、D/A转换器和低通滤波器(LPF)构成-Direct digital frequency synthesizer (Direct Digital synthesizer) is the concept of direct synthesis from t
  3. 所属分类:软件工程

    • 发布日期:2024-05-16
    • 文件大小:261120
    • 提供者:wufeng
  1. 用verilog编写的sigma-delta adc例子

    0下载:
  2. 累加器实现艾哈空间哈卡哈尽快啊哈卡哈卡快捷回复哈哈哈看(Accumulator implementation)
  3. 所属分类:其他小程序

  1. addcontrolk

    0下载:
  2. 累加器,适用于数字频率合成器的时钟模块,输出一个阶梯状的信号(Accumulator is suitable for the clock module of the digital frequency synthesizer, and outputs a ladder like signal.)
  3. 所属分类:其他小程序

    • 发布日期:2024-05-16
    • 文件大小:2048
    • 提供者:大piepie
  1. 流水线乘法累加器设计

    0下载:
  2. 调用寄存器LPM,流水线加法器LPM,流水线乘法器LPM等模块实现一个8位流水线乘法累加器。(Call a register LPM, pipelined adder LPM, pipeline multiplier LPM and other modules to achieve a 8 bit pipelined multiplication accumulator.)
  3. 所属分类:其他小程序

    • 发布日期:2024-05-16
    • 文件大小:961536
    • 提供者:墨染静然
« 12 3 4 5 6 7 8 9 10 »

源码中国 www.ymcn.org