搜索资源列表

  1. stm32-Frequency-meter

    1下载:
  2. 使用stm32通用计数器做的一个简易频率计,可以算出脉冲宽度还有其占空比-Done using a general-purpose counter stm32 simple frequency counter, you can calculate the pulse width as well as its duty cycle
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:1169408
    • 提供者:曾松德
  1. shuxianjishuqi

    0下载:
  2. 微电脑带倍率6位数显计数器,包括汇编源程序、软件流程图和电路图,计数脉冲从Int0端输入,-Microcomputer 6 digit display counter with magnification, including the compilation of source code, software flowcharts and circuit diagrams, counting pulses from Int0 ended
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:27648
    • 提供者:清风
  1. Experiment24_ne555

    0下载:
  2. 6位数的计数器源程序,可以从0一直999999,NE555的输出口P3.5计数,开机显示0000000数码管数据p0,数码管控制p2口,计数脉冲NE555 P3.5输出 调整NE555的电位器,可以观察到计数的变化, 去掉ne555的跳线,则停止计数-6-digit counter source, can be from 0 999999, NE555 s output P3.5 count, boot displays digital
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:15360
    • 提供者:hwhjy
  1. KS

    0下载:
  2. 运用单片机和8253计数器的通信,使8253输出脉冲频率可调的脉冲-8253 counter using microcontroller and communication, so that 8253 pulses with adjustable pulse frequency output
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-19
    • 文件大小:2048
    • 提供者:朱红华
  1. CPLD

    0下载:
  2. verilog编写的加减6路可逆计数器,用于FPGA对6路脉冲信号的计数-verilog written addition and subtraction 6 way reversible counter for FPGA on the 6-channel pulse count
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:961536
    • 提供者:zhangshaobo
  1. cymometer1

    0下载:
  2. 2.4G频率计DIY,利用89S52制作,外加一片12位二进制计数器,高位低频脉冲信号利用单片机内部基数器计数。内部带有仿真文件。-2.4G cymometer made in 89S52.
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-19
    • 文件大小:453632
    • 提供者:dali9165
  1. 8253jishuqi

    0下载:
  2. 用8253对标准脉冲信号进行计数,就可以实现定时功能。用板上的1MHz作为标准信号,将8253可编程计数器/定时器的时间常数设在1000000次,就可以在定时器的管脚上输出1秒针高/1秒钟低的脉冲信号。因为8253每个计数器只有十六位,要用两个计数器才能实现一百万次的计数,实现每一秒输出状态发生一次反转。-With 8253 pairs of standard pulse counting, timing can be achieved
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:徐荣敏
  1. maiobiao

    0下载:
  2. 具有加、减计数以及置数报警功能的计数器,采用三个数码管显示,555产生1秒的脉冲,三块74ls192十进制计数器级联为三位数计数器。-Have add, subtract count, and buy several alarm function of counter, using three digital pipe display, 555 produce 1 second pulse, three pieces of 74 ls1
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:82944
    • 提供者:龙龙
  1. 8-lights-the-controller-design

    0下载:
  2. 八路彩灯控制器的设计.数字钟的主体是计数器,它记录并显示接受到的秒脉冲个数,其中秒和分为模 60 计数器,小时为模 24 计数器,分别产生 2 位 BCD 码-8 lights the controller design.A digital clock are the subject of counter, it recorded and display to receive the number of second pulse, in
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:75776
    • 提供者:chuchu
  1. TEST3

    0下载:
  2. T0发出周期为2S的脉冲,T1做计数器计数,并动态扫描显示计数脉冲数。-Given period of 2S T0 pulse, T1 do counter, and dynamic scanning display count pulses.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:陈凯源
  1. yinyuehechengxu

    0下载:
  2. 当键盘有键按下时,判断键值,启动计数器T0,产生一定频率的脉冲,驱动蜂鸣器,放出乐曲。同时启动定时器T1,显示乐曲播放的时间,并驱动LCD,显示歌曲号及播放时间。 (1) 硬件电路中用P1.0~P1.7控制按键,其中P1.0~P1.3扫描行,P1.4~P1.7扫描列; (2) 用P2.0~P2.2作为LCD的RS、R/W、E的控制信号; (3)用P0.0~P0.7作为LCD的D0~D7的控制信号; (4) 用P3.7
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:2048
    • 提供者:linqinghui
  1. NE555

    0下载:
  2. 6位数的计数器源程序,可以从0一直到999999,NE555的输出口P3.5计数,开机显示000000 * 数码管数据p0,数码管控制p2口,计数脉冲NE555 P3.5输出 调整NE555的电位器, * 可以观察到计数的变化, 去掉ne555的跳线,则停止计数-NE555 output counter pulse.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:2048
    • 提供者:hs
  1. ll

    0下载:
  2. 电子计数器测频有两种方式:一是直接测频法,即在一定闸门时间内测量被测信号的脉冲个数;二是间接测频法,如周期测频法。直接测频法适用于高频信号的频率测量,间接测频法适用于低频信号的频率测量。本文阐述了用数字电路设计了一个简单的数字频率计的过程。-Electronic counter measuring frequency in two ways: one is the direct frequency measurement method,
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:439296
    • 提供者:媛媛
  1. jishuqizhouqixingxieshixu

    0下载:
  2. 利用计数器控制状态变更产生周期性写时序 ,50MHz的晶振频率,可以产生50MHz的计数器。每个计数周期代表20ns。 每毫秒产生一个写脉冲,意味着20000个时钟为一个大循环,换成二进制,需要15位的计数器,计到19999强制归零。 不可能产生30ms的准确写宽度,最小只能用两个周期产生40ms宽的写脉冲。-The Cong ℃ of the LIU ╃ using Counters ㄦ with Liu chop Yan G
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:27648
    • 提供者:黄宏
  1. S7-200biancheng

    0下载:
  2. 模拟电位器 H–2 H.2 怎样使用高速计数器 H–6 H.3 自由通信口模式的简单应用 H–10 H.4 处理脉宽调制 H–13 H.5 可逆电动机起动器电路――适用于改变三相交流感应电动机旋转方向 H–16 H.6 步执行顺序(事件鼓定时器) H–19 H.7 S7-200用自由通信口模式和并行打印机连接 H–23 H.8 通过自由通信口模式接受条形
  3. 所属分类:编程文档

    • 发布日期:2024-05-19
    • 文件大小:779264
    • 提供者:李明
  1. s7-200bcc

    0下载:
  2. 模拟电位器 H–2 H.2 怎样使用高速计数器 H–6 H.3 自由通信口模式的简单应用 H–10 H.4 处理脉宽调制 H–13 H.5 可逆电动机起动器电路――适用于改变三相交流感应电动机旋转方向 H–16 H.6 步执行顺序(事件鼓定时器) H–19 H.7 S7-200用自由通信口模式和并行打印机连接 H–23 H.8 通过自由通信口模式接受条形
  3. 所属分类:编程文档

    • 发布日期:2024-05-19
    • 文件大小:784384
    • 提供者:李明
  1. EDAshuzimiaobiao

    0下载:
  2. EDA数字秒表 一、总体设计要求: 设计一个数字秒表,共有6位输出显示,分别为百分之一秒、十分之一秒、秒、十秒、分、十分;秒表的最大计数容量为60分钟,当计时达60分钟后,蜂鸣器报警;秒表还需有一个启动信号和一个归零信号,以便秒表能随意启停及归零。 二、技术要点: 1.秒表的逻辑结构主要由显示译码器、分频器、十进制计数器、六进制计数器和报警器组成。 2.最关键的是精确的100Hz计时脉冲如何获得,可由高频时钟信号经分
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:921600
    • 提供者:枫叶儿2012
  1. lesson7

    0下载:
  2. 关于PIC单片机的定时计数器的一端源程序。定时功能就是通过来自单片机内部的时钟脉冲作计数脉冲,使计数器计数,即每个机器周期计数器加1,计数值达到予置值后,定时/计数模块产生溢出。-One end of the source code on the PIC microcontroller timing counter. The timing function is passed from the internal microcontrol
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:9216
    • 提供者:gaven
  1. jishuqi

    0下载:
  2. 定时器,计数器。用共阴极数码管显示计数。定时器中断控制计数脉冲的输出。计数器对该脉冲进行计数。-Timers, counters. With common cathode LED display count. The timer interrupt to control the output of the count pulse. Counter to the pulse count.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:xdh
  1. VHDL-example_counter

    0下载:
  2. M=11的计数器;计数是一种最简单基本的运算,计数器就是实现这种运算的逻辑电路,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能-M = 11 counter The count is one of the most simple basic computing, counter to realize the operation is logic circuit
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:殷蕾
« 1 2 ... 5 6 7 8 9 1011 12 13 »

源码中国 www.ymcn.org