搜索资源列表

  1. 自动售货机VHDL程序与仿真

    0下载:
  2. 自动售货机VHDL程序与仿真,源码,具有很高的参考价值!-vending machines procedures and VHDL simulation source code, the high reference value!
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:143711
    • 提供者:温暖感
  1. FPGA_27eg

    0下载:
  2. FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:1279333
    • 提供者:
  1. 自动售货机VHDL程序与仿真

    0下载:
  2. library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_l
  3. 所属分类:文档资料

  1. 自动售货机VHDL程序与仿真

    0下载:
  2. 自动售货机VHDL程序与仿真,源码,具有很高的参考价值!-vending machines procedures and VHDL simulation source code, the high reference value!
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:143360
    • 提供者:温暖感
  1. FPGA_27eg

    0下载:
  2. FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调
  3. 所属分类:文件格式

    • 发布日期:2024-05-17
    • 文件大小:1278976
    • 提供者:
  1. 8

    0下载:
  2. 8[1] .21 自动售货机 VHDL程序 与仿真.doc-8 [1] [1] .21 vending machine and simulation of VHDL procedures. Doc
  3. 所属分类:软件工程

    • 发布日期:2024-05-17
    • 文件大小:143360
    • 提供者:fufeifei
  1. Vending_machine

    0下载:
  2. 自动售货机VHDL程序与仿真,介绍了售货机的详细程序。-Vending machine VHDL simulation procedures and to introduce the detailed procedure of the machine.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:144384
    • 提供者:
  1. Vending_machine_simulation

    0下载:
  2. 自动售货机VHDL程序与仿真,功能包括货物信息存储,进程控制,硬币处理,余额计算,显示等功能-Vending machine simulation of VHDL procedures and functions, including cargo information storage, process control, coin handling, balance calculation, display and other func
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:143360
    • 提供者:葛棋棋
  1. VendingmachinesimulationwithVHDL

    0下载:
  2. 自动售货机VHDL程序与仿真 功能:货物信息存储,进程控制,硬币处理,余额计算,显示等功能。 说明:显示的钱数coin的 以5角为单位。 -Vending machine simulation of VHDL procedures and functions: cargo information storage, process control, coin handling, balance calculation, dis
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:146432
    • 提供者:Zhu
  1. 8.21VHDL

    0下载:
  2. 8.21 自动售货机VHDL程序与仿真2010/05/04-8.21 vending machine and simulation of VHDL procedures
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:143360
    • 提供者:阿锦
  1. ZiDongShouHuoJi(VHDL)

    0下载:
  2. 自动售货机VHDL程序与仿真 功能:货物信息存储,进程控制,硬币处理,余额计算,显示等功能。 --说明:显示的钱数coin的 以5角为单位。 --最后修改日期:2010.3.23。 -vending machine(VHDL)program
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:143360
    • 提供者:梁辰
  1. Perfect-VHDL

    0下载:
  2. 1 步进电机定位控制系统VHDL程序与仿真 2 采用等精度测频原理的频率计程序与仿真 3 URAT VHDL程序与仿真 4 自动售货机VHDL程序与仿真 5 电子琴程序设计与仿真 6 出租车计价器VHDL程序与仿真 7 DAC0832 接口电路程序 8 FSK调制与解调VHDL程序及仿真 -1stepper motor positioning control system for VHDL procedu
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:1313792
    • 提供者:liu
  1. fsg

    0下载:
  2. 自动售货机VHDL程序与仿真,可以直接实现!-Vending machines, procedures and VHDL simulation, which allows direct
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:143360
    • 提供者:qiming

源码中国 www.ymcn.org