搜索资源列表

  1. 三人表决器(三种不同的描述方式)

    0下载:
  2. 用VHDL语言编写的三人表决器,多数服从少数,或者一致通过。-VHDL prepared by the three voting machines, most of the views of the minority, or adopted unanimously.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1199
    • 提供者:刘超
  1. 三人表决器(三种不同的描述方式)

    0下载:
  2. 用VHDL语言编写的三人表决器,多数服从少数,或者一致通过。-VHDL prepared by the three voting machines, most of the views of the minority, or adopted unanimously.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-18
    • 文件大小:1024
    • 提供者:刘超
  1. VHDL范例

    0下载:
  2. 最高优先级编码器 8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使用select语句) LED七段译码 多路选择器(使用if-else语句) 双2-4译码器:74139 多路选择器(使用when-else语句) 二进制到BCD码转换 多路选择器 (使用case语句) 二进制到格雷码转换 双向总线(
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-18
    • 文件大小:43008
    • 提供者:kerty
  1. 三人表决器

    0下载:
  2. Three-input Majority Voter -- The entity declaration is followed by three alternative architectures which achieve the same functionality in different ways. -Three-input Majority Voter -- The entity declaration is fo
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:3072
    • 提供者:蔡孟颖
  1. select7

    0下载:
  2. VHDL七人表决器免费为大家服务-VHDL seven people to vote for you for free!
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:35840
    • 提供者:man
  1. biaojueqi

    0下载:
  2. eda7人表决器,设计一个七人表决电路,当参与表决的7人中有4人或4人以上赞同时,表决器输出“1” 表示通过,否则输出“0”表示不通过。 实验时,可用7个电平开关作为表决器的7个输入变量,输入“1”表示表决者“赞同” 输入“0”表示表决者“不赞同”。 -eda7 votes, design a seven-vote circuit, When a vote of seven people who have four o
  3. 所属分类:其他小程序

    • 发布日期:2024-05-18
    • 文件大小:10240
    • 提供者:王哥
  1. seven

    0下载:
  2. seven.vhd 七人表决器VHDL源码 七人表决器.doc 程序说明-seven.vhd seven votes for VHDL source code for seven votes. A descr iption of the procedures for doc
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:4096
    • 提供者:杨奎元
  1. diansai

    0下载:
  2. 此为2006年吉林省电子大赛中题目无线表决器的硬件连接图,操作环境为protel-this as a 2006 race Jilin electronic voting topic of wireless hardware connection graph, operating environment for Protel
  3. 所属分类:其他小程序

    • 发布日期:2024-05-18
    • 文件大小:82944
    • 提供者:王志杰
  1. people4

    0下载:
  2. 这是我自己写的4人表决器源码,在xilinx Spartan3E 上已经调试成功,拿出来与大家分享!-that I wrote four voting machine source code, In xilinx Spartan3E debugging has been successful, with the show to share with you!
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:270336
    • 提供者:许的开
  1. srbjq

    0下载:
  2. quartus环境下开发的三人表决器(三种不同的描述方式)maxplusII兼容
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:1024
    • 提供者:
  1. vote7-2

    0下载:
  2. 七人表决器 在表决的过程中 多于四个通过 少于四个不通过-Seven people vote in the voting process more than four does not pass through the less than four
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:1024
    • 提供者:duzhifu
  1. voterandcounter

    0下载:
  2. 用VHDL写的源代码程序,包涵三人表决器,七人表决器,全加器以及模24,模60的计数器,都是单文件的,由于程序小又多,所以集中在一起,供新学习VHDL语言的朋友们参考。-With VHDL source code written procedures, includes three of the voting machine, vote on seven people, and full adder, as well as modulu
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:2048
    • 提供者:韩笑
  1. seven

    0下载:
  2. 这是我在ISP编程实验中独立编写的采用结构化描述的一个七人表决器,通过独特的3次映射一位全加器的方法从而实现七人表决器的功能,与网络上任何其他的七人表决器源码决无雷同。-This is my ISP programming in an independent experiment using a structured, prepared as described in a seven-member voting machine, thr
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:84992
    • 提供者:daisichong
  1. EDA

    0下载:
  2. 3-8译码器设计 4选1数据选择器设计 4位比较器设计 七人表决器设计 计数器设计 交通灯信号控制器设计-3-8 Decoder 4 election to choose a data compared Design 4 Design Design a vote of seven traffic lights signal counter design controller design
  3. 所属分类:其他小程序

    • 发布日期:2024-05-18
    • 文件大小:233472
    • 提供者:宋立泉
  1. biaojueqi

    0下载:
  2. 通过VHDL实现一个三人表决器,两个或者两个以上人投票,择通过,否则,无法通过-VHDL implementation through a three-person voting machines, two or more than two votes, whichever is adopted, otherwise, can not
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:185344
    • 提供者:李智
  1. VHDL

    0下载:
  2. 1.7段数码译码器 2.4人表决器 3.8421码十进制计数器 4.9秒减计数器-1.7 Section 2.4 digital decoder person voting 3.8421 yards in 4.9 seconds by a decimal counter counter
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:8192
    • 提供者:99
  1. biaojue

    0下载:
  2. VHDL编写的七人表决器,有做课程设计的有福了-Written in VHDL seven voting machine, there are so blessed Oh curriculum design
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:208896
    • 提供者:龙刚
  1. biaojueqi

    0下载:
  2. 这个城市表决器,解释了DELPHI的一些控件使用方法-Voting in the city, explained the use of DELPHI some of the controls
  3. 所属分类:Dephi控件源码

    • 发布日期:2024-05-18
    • 文件大小:172032
    • 提供者:tangbo
  1. CPLD-Three-voting

    0下载:
  2. CPLD/FPGA 设计实例手册 用VHDL语言设计三人表决器 用原理图输入的方式设计三人表决器 用verilog-HDL语言设计三人表决器-CPLD/FPGA design example manual Three of the voting machine VHDL language Schematic design of a three-member voting Verilog-HDL lan
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:2754560
    • 提供者:叶子
  1. vote7

    0下载:
  2. 简单的7人表决器(4及以上同意即可通过)(Simple 7-person voting device (4 and above agreed to pass))
  3. 所属分类:其他小程序

    • 发布日期:2024-05-18
    • 文件大小:53248
    • 提供者:好客万家
« 12 3 4 5 6 7 8 »

源码中国 www.ymcn.org